OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk
Message file "usenglish/ip.msg" wasn't found. 0: (0,0) : 36x512 u:4 0: (0,0) : 36x512 u:4 "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_wrapper_v6.vhd" Line 7982: Range is empty (null range) "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_wrapper_v6.vhd" Line 7982: Assignment ignored "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_wrapper_v6.vhd" Line 511: Net <douta_i[35]> does not have a driver. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 430: Net <dina_pad[35]> does not have a driver. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 434: Net <dinb_pad[35]> does not have a driver. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <douta> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <rdaddrecc> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_bid> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_bresp> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_rid> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_rdata> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_rresp> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_rdaddrecc> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <sbiterr> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <dbiterr> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_awready> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_wready> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_bvalid> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_arready> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_rlast> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_rvalid> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_sbiterr> of the instance <U0> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\DP_RAM_XILINX_MASK.vhd" line 157: Output port <s_axi_dbiterr> of the instance <U0> is unconnected or connected to loadless signal. Input <S_AXI_AWID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_AWADDR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_AWLEN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_AWSIZE> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_AWBURST> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_WDATA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_WSTRB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARADDR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARLEN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARSIZE> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARBURST> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AClk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_ARESETN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_AWVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_WLAST> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_WVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_BREADY> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_RREADY> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Signal 'S_AXI_BID', unconnected in block 'blk_mem_gen_v7_3_xst', is tied to its initial value (0000). Signal <S_AXI_BRESP> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal 'S_AXI_RID', unconnected in block 'blk_mem_gen_v7_3_xst', is tied to its initial value (0000). Signal <S_AXI_RDATA> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_RRESP> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_AWREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_WREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_BVALID> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_ARREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_RLAST> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_RVALID> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Input <WEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <DINB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <RSTA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <ENA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <RSTB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <REGCEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Signal <INJECTDBITERR_I> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <INJECTSBITERR_I> is used but never assigned. This sourceless signal will be automatically connected to value GND. Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <REGCEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_generic_cstr.vhd" line 1342: Output port <SBITERR> of the instance <ramloop[0].ram.r> is unconnected or connected to loadless signal. "C:\mohd\xmatch_ngc\coregen\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_generic_cstr.vhd" line 1342: Output port <DBITERR> of the instance <ramloop[0].ram.r> is unconnected or connected to loadless signal. Signal <RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal 'dina_pad<35:28>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). Signal 'dina_pad<26:19>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). Signal 'dina_pad<17:10>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). Signal 'dina_pad<8:1>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). Signal 'dinb_pad<35:28>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). Signal 'dinb_pad<26:19>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). Signal 'dinb_pad<17:10>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). Signal 'dinb_pad<8:1>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). Input <WEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <DINB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SSRA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SSRB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Signal 'douta_i', unconnected in block 'blk_mem_gen_prim_wrapper_v6', is tied to its initial value (000000000000000000000000000000000000). Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Input <DOUTA_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <RDADDRECC_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <CLKB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SBITERR_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <DBITERR_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Signal <RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [coregen/] [tmp/] [_xmsgs/] [xst.xmsgs] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.