OpenCores
URL https://opencores.org/ocsvn/yavga/yavga/trunk

Subversion Repositories yavga

[/] [yavga/] [trunk/] [vhdl/] [s3e_starter_1600k.ucf] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sandroamt
################################################################################
2
####                                                                        ####
3
#### This file is part of the yaVGA project                                 ####
4
#### http://www.opencores.org/?do=project&who=yavga                         ####
5
####                                                                        ####
6
#### Description                                                            ####
7
#### Implementation of yaVGA IP core                                        ####
8
####                                                                        ####
9
#### To Do:                                                                 ####
10
####                                                                        ####
11
####                                                                        ####
12
#### Author(s):                                                             ####
13
#### Sandro Amato, sdroamt@netscape.net                                     ####
14
####                                                                        ####
15
################################################################################
16
####                                                                        ####
17
#### Copyright (c) 2009, Sandro Amato                                       ####
18
#### All rights reserved.                                                   ####
19
####                                                                        ####
20
#### Redistribution  and  use in  source  and binary forms, with or without ####
21
#### modification,  are  permitted  provided that  the following conditions ####
22
#### are met:                                                               ####
23
####                                                                        ####
24
####     * Redistributions  of  source  code  must  retain the above        ####
25
####       copyright   notice,  this  list  of  conditions  and  the        ####
26
####       following disclaimer.                                            ####
27
####     * Redistributions  in  binary form must reproduce the above        ####
28
####       copyright   notice,  this  list  of  conditions  and  the        ####
29
####       following  disclaimer in  the documentation and/or  other        ####
30
####       materials provided with the distribution.                        ####
31
####     * Neither  the  name  of  SANDRO AMATO nor the names of its        ####
32
####       contributors may be used to  endorse or  promote products        ####
33
####       derived from this software without specific prior written        ####
34
####       permission.                                                      ####
35
####                                                                        ####
36
#### THIS SOFTWARE IS PROVIDED  BY THE COPYRIGHT  HOLDERS AND  CONTRIBUTORS ####
37
#### "AS IS"  AND  ANY EXPRESS OR  IMPLIED  WARRANTIES, INCLUDING,  BUT NOT ####
38
#### LIMITED  TO, THE  IMPLIED  WARRANTIES  OF MERCHANTABILITY  AND FITNESS ####
39
#### FOR  A PARTICULAR  PURPOSE  ARE  DISCLAIMED. IN  NO  EVENT  SHALL  THE ####
40
#### COPYRIGHT  OWNER  OR CONTRIBUTORS  BE LIABLE FOR ANY DIRECT, INDIRECT, ####
41
#### INCIDENTAL,  SPECIAL,  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, ####
42
#### BUT  NOT LIMITED  TO,  PROCUREMENT OF  SUBSTITUTE  GOODS  OR SERVICES; ####
43
#### LOSS  OF  USE,  DATA,  OR PROFITS;  OR  BUSINESS INTERRUPTION) HOWEVER ####
44
#### CAUSED  AND  ON  ANY THEORY  OF LIABILITY, WHETHER IN CONTRACT, STRICT ####
45
#### LIABILITY,  OR  TORT  (INCLUDING  NEGLIGENCE  OR OTHERWISE) ARISING IN ####
46
#### ANY  WAY OUT  OF THE  USE  OF  THIS  SOFTWARE,  EVEN IF ADVISED OF THE ####
47
#### POSSIBILITY OF SUCH DAMAGE.                                            ####
48
################################################################################
49
 
50
#PACE: Start of Constraints generated by PACE
51
 
52
#PACE: Start of PACE I/O Pin Assignments
53
NET "i_clk"  LOC = "c9" | IOSTANDARD = LVCMOS33 ;
54
NET "o_b"  LOC = "g15" | IOSTANDARD = LVTTL  | DRIVE = 8  | SLEW = FAST ;
55
NET "o_g"  LOC = "h15" | IOSTANDARD = LVTTL  | DRIVE = 8  | SLEW = FAST ;
56
NET "o_hsync"  LOC = "f15" | IOSTANDARD = LVTTL  | DRIVE = 8  | SLEW = FAST ;
57
NET "o_r"  LOC = "h14" | IOSTANDARD = LVTTL  | DRIVE = 8  | SLEW = FAST ;
58
NET "o_vsync"  LOC = "f14" | IOSTANDARD = LVTTL  | DRIVE = 8  | SLEW = FAST ;
59
 
60
#PACE: Start of PACE Area Constraints
61
#AREA_GROUP "AG_u1_vga_ctrl" RANGE = SLICE_X84Y109:SLICE_X89Y100 ;
62
#INST "u1_vga_ctrl" AREA_GROUP = "AG_u1_vga_ctrl" ;
63
 
64
#PACE: Start of PACE Prohibit Constraints
65
 
66
#PACE: End of Constraints generated by PACE
67 24 sandroamt
NET "i_clk" TNM_NET = i_clk;
68
TIMESPEC TS_i_clk = PERIOD "i_clk" 20 ns HIGH 40%;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.