OpenCores
URL https://opencores.org/ocsvn/yavga/yavga/trunk

Subversion Repositories yavga

[/] [yavga/] [trunk/] [vhdl/] [vga_ctrl.vhd] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sandroamt
--------------------------------------------------------------------------------
2
----                                                                        ----
3
---- This file is part of the yaVGA project                                 ----
4
---- http://www.opencores.org/?do=project&who=yavga                         ----
5
----                                                                        ----
6
---- Description                                                            ----
7
---- Implementation of yaVGA IP core                                        ----
8
----                                                                        ----
9
---- To Do:                                                                 ----
10
----                                                                        ----
11
----                                                                        ----
12
---- Author(s):                                                             ----
13
---- Sandro Amato, sdroamt@netscape.net                                     ----
14
----                                                                        ----
15
--------------------------------------------------------------------------------
16
----                                                                        ----
17
---- Copyright (c) 2009, Sandro Amato                                       ----
18
---- All rights reserved.                                                   ----
19
----                                                                        ----
20
---- Redistribution  and  use in  source  and binary forms, with or without ----
21
---- modification,  are  permitted  provided that  the following conditions ----
22
---- are met:                                                               ----
23
----                                                                        ----
24
----     * Redistributions  of  source  code  must  retain the above        ----
25
----       copyright   notice,  this  list  of  conditions  and  the        ----
26
----       following disclaimer.                                            ----
27
----     * Redistributions  in  binary form must reproduce the above        ----
28
----       copyright   notice,  this  list  of  conditions  and  the        ----
29
----       following  disclaimer in  the documentation and/or  other        ----
30
----       materials provided with the distribution.                        ----
31
----     * Neither  the  name  of  SANDRO AMATO nor the names of its        ----
32
----       contributors may be used to  endorse or  promote products        ----
33
----       derived from this software without specific prior written        ----
34
----       permission.                                                      ----
35
----                                                                        ----
36
---- THIS SOFTWARE IS PROVIDED  BY THE COPYRIGHT  HOLDERS AND  CONTRIBUTORS ----
37
---- "AS IS"  AND  ANY EXPRESS OR  IMPLIED  WARRANTIES, INCLUDING,  BUT NOT ----
38
---- LIMITED  TO, THE  IMPLIED  WARRANTIES  OF MERCHANTABILITY  AND FITNESS ----
39
---- FOR  A PARTICULAR  PURPOSE  ARE  DISCLAIMED. IN  NO  EVENT  SHALL  THE ----
40
---- COPYRIGHT  OWNER  OR CONTRIBUTORS  BE LIABLE FOR ANY DIRECT, INDIRECT, ----
41
---- INCIDENTAL,  SPECIAL,  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, ----
42
---- BUT  NOT LIMITED  TO,  PROCUREMENT OF  SUBSTITUTE  GOODS  OR SERVICES; ----
43
---- LOSS  OF  USE,  DATA,  OR PROFITS;  OR  BUSINESS INTERRUPTION) HOWEVER ----
44
---- CAUSED  AND  ON  ANY THEORY  OF LIABILITY, WHETHER IN CONTRACT, STRICT ----
45
---- LIABILITY,  OR  TORT  (INCLUDING  NEGLIGENCE  OR OTHERWISE) ARISING IN ----
46
---- ANY  WAY OUT  OF THE  USE  OF  THIS  SOFTWARE,  EVEN IF ADVISED OF THE ----
47
---- POSSIBILITY OF SUCH DAMAGE.                                            ----
48
--------------------------------------------------------------------------------
49
 
50
library IEEE;
51
use IEEE.STD_LOGIC_1164.all;
52
use IEEE.STD_LOGIC_ARITH.all;
53
use IEEE.STD_LOGIC_UNSIGNED.all;
54
 
55 24 sandroamt
use work.yavga_pkg.all;
56
 
57 2 sandroamt
---- Uncomment the following library declaration if instantiating
58
---- any Xilinx primitives in this code.
59
--library UNISIM;
60
--use UNISIM.VComponents.all;
61
 
62
entity vga_ctrl is
63
--      generic (
64
--              g_H_SIZE : integer := 800;      -- horizontal size of input image, MAX 800
65
--              g_V_SIZE : integer := 600       -- vertical size of input image, MAX 600
66
--      );
67
 
68
  port (
69
    i_clk   : in std_logic;             -- must be 50MHz
70
    i_reset : in std_logic;
71
 
72
    -- vga horizontal and vertical sync
73
    o_h_sync : out std_logic;
74
    o_v_sync : out std_logic;
75
 
76
    -- horizontal and vertical sync enable (allow power saving on ?VESA? Monitors)
77
    i_h_sync_en : in std_logic;
78
    i_v_sync_en : in std_logic;
79
 
80
    -- vga R G B signals (1 bit for each component (8 colors))
81
    o_r : out std_logic;
82
    o_g : out std_logic;
83
    o_b : out std_logic;
84
 
85
    -- chars RAM memory
86 24 sandroamt
    i_chr_addr : in  std_logic_vector(c_CHR_ADDR_BUS_W - 1 downto 0);
87
    i_chr_data : in  std_logic_vector(c_CHR_DATA_BUS_W - 1 downto 0);
88
    o_chr_data : out std_logic_vector(c_CHR_DATA_BUS_W - 1 downto 0);
89 2 sandroamt
    i_chr_clk  : in  std_logic;
90
    i_chr_en   : in  std_logic;
91
    i_chr_we   : in  std_logic_vector(3 downto 0);
92
    i_chr_rst  : in  std_logic;
93
 
94
    -- waveform RAM memory
95 24 sandroamt
    i_wav_d    : in std_logic_vector(c_WAVFRM_DATA_BUS_W - 1 downto 0);
96 2 sandroamt
    i_wav_we   : in std_logic;
97 23 sandroamt
    i_wav_clk : IN std_logic;
98 24 sandroamt
    i_wav_addr : in std_logic_vector(c_WAVFRM_ADDR_BUS_W - 1 downto 0)  --;
99 2 sandroamt
    --o_DOA : OUT std_logic_vector(15 downto 0)
100
    );
101
end vga_ctrl;
102
 
103
-- vga timings used
104
--                     0                                    TOT
105
-- ...-----------------|=============== PERIOD ==============|--...
106
--                     |                                     |
107
-- ...__           ___________________________           _______...
108
--      \_________/                           \_________/
109
--                                                                 
110
--      |         |    |               |      |         |    |
111
--      |         |    |               |      |         |    |
112
-- ...--|----S----|-F--|=======D=======|==B===|====S====|=F==|--...
113
--           Y      R          I          A        Y      R
114
--           N      O          S          C        N      O
115
--           C      N          P          K        C      N
116
--           T      T          L          P        T      T
117
--           I      P          T          O        I      P
118
--           M      O          I          R        M      O
119
--           E      R          M          C        E      R
120
--                  C          E          H               C
121
--                  H                                     H
122
--      |         |    |               |      |         |    |
123
--   ...|---------|----|===============|======|=========|====|--...
124
-- HPx:     120     56         800        63      120     56    px (h PERIOD = 1039 px)
125
-- VLn:     6       37         600        23      6       37    ln (v PERIOD = 666 ln)
126
--
127
-- and with 50Mhz dot clock (20ns dot time):
128
--      |         |    |               |      |         |    |
129
--   ...|---------|----|===============|======|=========|====|--...
130
--Htime:   2.4     1.12        16        1.26     2.4    1.12   usec  (h PERIOD = 20.78 usec) Hfreq 48123.195 Hz
131
--Vtime:  124.68  768.86     12468      477.94  124.68  768.68  usec  (v PERIOD = 13839.48 usec) Vfreq 72.257 Hz
132
 
133
architecture rtl of vga_ctrl is
134
 
135
  --
136
  signal s_h_count      : std_logic_vector(10 downto 0);  -- horizontal pixel counter
137
  signal s_v_count      : std_logic_vector(9 downto 0);  -- verticalal line counter
138 23 sandroamt
  signal s_v_count_d_4  : std_logic_vector(3 downto 0);  -- verticalal line counter
139 2 sandroamt
  signal s_h_sync       : std_logic;    -- horizontal sync trigger
140
  signal s_h_sync_pulse : std_logic;    -- 1-clock pulse on sync trigger
141
 
142
  --
143
  -- signals for the charmaps Block RAM component...
144 23 sandroamt
  signal s_charmaps_en : std_logic;
145 24 sandroamt
  signal s_charmaps_ADDR : std_logic_vector (c_INTCHMAP_ADDR_BUS_W - 1 downto 0);
146
  signal s_charmaps_DO   : std_logic_vector (c_INTCHMAP_DATA_BUS_W - 1 downto 0);
147 2 sandroamt
 
148
  --
149
  -- to manage the outside display region's blanking
150
  signal s_display : std_logic;
151
  --
152
 
153
  --
154 23 sandroamt
  -- to manage the chars  ram address and the ram ascii
155 24 sandroamt
  signal s_chars_ram_addr : std_logic_vector(c_INTCHR_ADDR_BUS_W - 1 downto 0);
156
  signal s_chars_ascii    : std_logic_vector(c_INTCHR_DATA_BUS_W - 1 downto 0);
157 23 sandroamt
  signal s_chars_EN_r : std_logic;
158 2 sandroamt
 
159 23 sandroamt
  -- to manage the waveform ram address and data
160 24 sandroamt
  signal s_waveform_ADDRB : std_logic_vector (c_WAVFRM_ADDR_BUS_W - 1 downto 0);
161
  signal s_waveform_DOB   : std_logic_vector (c_WAVFRM_DATA_BUS_W - 1 downto 0);
162 2 sandroamt
 
163
 
164
  -- charmaps
165
  -- |------| |-----------------|
166
  -- |   P  | | D D D D D D D D |
167
  -- |======| |=================|
168
  -- |   8  | | 7 6 5 4 3 2 1 0 |
169
  -- |======| |=================|
170
  -- | Free | | Row char pixels |
171
  -- |------| |-----------------|
172
  --
173
  component charmaps_rom
174
    port(
175 23 sandroamt
      i_EN    : in std_logic;
176 2 sandroamt
      i_clock : in  std_logic;
177 24 sandroamt
      i_ADDR  : in  std_logic_vector(c_INTCHMAP_ADDR_BUS_W - 1 downto 0);  -- 16 x ascii code (W=8 x H=16 pixel)
178
      o_DO    : out std_logic_vector(c_INTCHMAP_DATA_BUS_W - 1 downto 0)    -- 8 bit char pixel
179 2 sandroamt
      );
180
  end component;
181
 
182
 
183
 
184
  -- wave form or video-line memory
185
  -- |------| |-------------------------------------------|
186
  -- | P  P | |  D  D  D |  D  D  D | D D D D D D D D D D |
187
  -- |======| |===========================================|
188
  -- |17 16 | | 15 14 13 | 12 11 10 | 9 8 7 6 5 4 3 2 1 0 |
189
  -- |======| |===========================================|
190
  -- | Free | |  Reserv. |  R  G  B |      vert. pos.     |
191
  -- |------| |-------------------------------------------|
192
  --
193
  component waveform_ram
194
    port(
195
      i_DIA    : in  std_logic_vector(15 downto 0);
196
      i_WEA    : in  std_logic;
197
      i_clockA : in  std_logic;
198
      i_ADDRA  : in  std_logic_vector(9 downto 0);
199
      --o_DOA : OUT std_logic_vector(15 downto 0);
200
      --
201
      i_DIB    : in  std_logic_vector(15 downto 0);
202
      i_WEB    : in  std_logic;
203
      i_clockB : in  std_logic;
204
      i_ADDRB  : in  std_logic_vector(9 downto 0);
205
      o_DOB    : out std_logic_vector(15 downto 0)
206
      );
207
  end component;
208
 
209
  component chars_RAM
210
    port(
211
      i_clock_rw : in  std_logic;
212
      i_EN_rw    : in  std_logic;
213
      i_WE_rw    : in  std_logic_vector(3 downto 0);
214 24 sandroamt
      i_ADDR_rw  : in  std_logic_vector(c_CHR_ADDR_BUS_W - 1 downto 0);
215
      i_DI_rw    : in  std_logic_vector(c_CHR_DATA_BUS_W - 1 downto 0);
216
      o_DI_rw    : out std_logic_vector(c_CHR_DATA_BUS_W - 1 downto 0);
217 2 sandroamt
      i_SSR      : in  std_logic;
218
      i_clock_r  : in  std_logic;
219 23 sandroamt
      i_EN_r     : in  std_logic;
220 24 sandroamt
      i_ADDR_r   : in  std_logic_vector(c_INTCHR_ADDR_BUS_W - 1 downto 0);
221
      o_DO_r     : out std_logic_vector(c_INTCHR_DATA_BUS_W - 1 downto 0)
222 2 sandroamt
      );
223
  end component;
224
 
225
 
226
  attribute U_SET                      : string;
227
  attribute U_SET of "u0_chars_RAM"    : label is "u0_chars_RAM_uset";
228
  attribute U_SET of "u1_charmaps_rom" : label is "u1_charmaps_rom_uset";
229
  attribute U_SET of "u2_waveform_ram" : label is "u2_waveform_ram_uset";
230
 
231 23 sandroamt
  -- to read some configuration params from the char ram
232
  signal s_config_time : std_logic;
233
  --
234
  -- to manage the background and cursor colors
235
  signal s_cursor_color : std_logic_vector(2 downto 0):= "000";
236
  signal s_bg_color : std_logic_vector(2 downto 0):= "000";
237
  --
238
  -- to manage the cursor position  
239
  signal s_cursor_x : std_logic_vector(10 downto 0);
240
  signal s_cursor_y : std_logic_vector(9 downto 0);
241
 
242 2 sandroamt
begin
243 23 sandroamt
  -- read config params from ram...
244
  p_config : process(i_clk)
245
  begin
246
    if rising_edge(i_clk) then
247
        case s_chars_ram_addr is
248 24 sandroamt
          when c_BG_CUR_COLOR_ADDR => -- bg and curs color are on the same byte byte
249 23 sandroamt
            s_config_time <= '1';
250
            s_cursor_color <= s_chars_ascii(2 downto 0);
251
            s_bg_color <= s_chars_ascii(5 downto 3);
252 24 sandroamt
          when c_CURS_XY1 => -- xy coords spans on three bytes 
253 23 sandroamt
            s_config_time <= '1';
254
            s_cursor_x(10 downto 6) <= s_chars_ascii(4 downto 0);
255 24 sandroamt
          when c_CURS_XY2 => -- xy coords spans on three bytes
256 23 sandroamt
            s_config_time <= '1';
257
            s_cursor_x(5 downto 0) <= s_chars_ascii(7 downto 2);
258
            s_cursor_y(9 downto 8) <= s_chars_ascii(1 downto 0);
259 24 sandroamt
          when c_CURS_XY3 => -- xy coords spans on three bytes
260 23 sandroamt
            s_config_time <= '1';
261
            s_cursor_y(7 downto 0) <= s_chars_ascii(7 downto 0);
262
          when others =>
263
            s_config_time <= '0';
264
        end case;
265
    end if;
266
  end process;
267 2 sandroamt
 
268 23 sandroamt
  -- enable the ram both
269
  --   - during the display time
270
  --   - to read configuration params
271
  s_chars_EN_r <= s_display or s_config_time;
272
 
273
  -- modify the chars_ram address
274 2 sandroamt
  s_chars_ram_addr <= s_v_count(9 downto 4) & s_h_count(9 downto 3);
275
  u0_chars_RAM : chars_RAM port map(
276
    i_clock_rw => i_chr_clk,
277
    i_EN_rw    => i_chr_en,
278
    i_WE_rw    => i_chr_we,
279
    i_ADDR_rw  => i_chr_addr,
280
    i_DI_rw    => i_chr_data,
281
    o_DI_rw    => o_chr_data,
282
    i_SSR      => i_chr_rst,
283 23 sandroamt
    i_clock_r  => not i_clk,
284
    i_EN_r     => s_chars_EN_r,
285 2 sandroamt
    i_ADDR_r   => s_chars_ram_addr,
286
    o_DO_r     => s_chars_ascii
287
    );
288
 
289
 
290 24 sandroamt
  -- modify the charmaps address (each 16 s_v_count - chars are 16 pixel tall)
291
  --                  v----- ascii code ------v    v-- vert px mod 16 --v (chars are 16 pixel tall)
292 23 sandroamt
  --s_charmaps_ADDR <= (s_chars_ascii(6 downto 0) & s_v_count(3 downto 0));
293
  s_charmaps_ADDR <= (s_chars_ascii(6 downto 0) & s_v_count_d_4);
294
  s_charmaps_en <=
295
    '1' when s_h_count(2 downto 0) = "111" -- each 8 h_count (chars are 8 pixel wide)
296
    else '0';
297 2 sandroamt
 
298
  u1_charmaps_rom : charmaps_rom port map(
299 23 sandroamt
    i_en    => s_charmaps_en,
300
    i_clock => not i_clk,
301 2 sandroamt
    i_ADDR  => s_charmaps_ADDR,
302
    o_DO    => s_charmaps_DO
303
    );
304
 
305
 
306 23 sandroamt
  -- modify the waveform address
307
  s_waveform_ADDRB <= s_h_count(9 downto 0);
308
 
309 2 sandroamt
  u2_waveform_ram : waveform_ram port map(
310
    i_DIA    => i_wav_d,
311
    i_WEA    => i_wav_we,
312 23 sandroamt
    i_clockA => i_wav_clk,
313 2 sandroamt
    i_ADDRA  => i_wav_addr,
314
    --o_DOA => o_DOA,
315
    --
316
    i_DIB    => "1111111111111111",
317
    i_WEB    => '0',
318 23 sandroamt
    i_clockB => not i_clk,
319
    i_ADDRB  => s_waveform_ADDRB,
320 2 sandroamt
    o_DOB    => s_waveform_DOB
321
    );
322
 
323 23 sandroamt
  -- generate a single clock pulse on hsync falling
324 2 sandroamt
  p_pulse_on_hsync_falling : process(i_clk)
325
    variable v_h_sync1 : std_logic;
326
  begin
327
    if rising_edge(i_clk) then
328
      s_h_sync_pulse <= not s_h_sync and v_h_sync1;
329
      v_h_sync1      := s_h_sync;
330
    end if;
331
  end process;
332
 
333
 
334
  -- control the reset, increment and overflow of the horizontal pixel count
335
  p_H_PX_COUNT : process(i_clk)                          --, i_reset)
336
  begin
337
    if rising_edge(i_clk) then
338
      if i_reset = '1' or s_h_count = c_H_PERIODpx then  -- sync reset
339
        s_h_count <= (others => '0');
340
      else
341
        s_h_count <= s_h_count + 1;
342
      end if;
343
    end if;
344
  end process;
345
 
346
 
347 23 sandroamt
  -- control the reset, increment and overflow of the vertical pixel count
348 2 sandroamt
  p_V_LN_COUNT : process(i_clk)
349
  begin
350
    if rising_edge(i_clk) then
351
      if i_reset = '1' or s_v_count = c_V_PERIODln then  -- sync reset
352
        s_v_count <= (others => '0');
353 23 sandroamt
                  s_v_count_d_4 <= s_v_count(3 downto 0);
354 2 sandroamt
      elsif s_h_sync_pulse = '1' then
355
        s_v_count <= s_v_count + 1;
356 23 sandroamt
                  s_v_count_d_4 <= s_v_count(3 downto 0);
357 2 sandroamt
      end if;
358
    end if;
359
  end process;
360
 
361
  -- set the horizontal sync high time and low time according to the constants
362
  p_MGM_H_SYNC : process(i_clk)         --, i_reset)
363
  begin
364
    if rising_edge(i_clk) then
365
      if (s_h_count = c_H_DISPLAYpx + c_H_BACKPORCHpx) then
366
        s_h_sync <= '0';
367
      elsif (s_h_count = c_H_PERIODpx - c_H_FRONTPORCHpx) then
368
        s_h_sync <= '1';
369
      end if;
370
    end if;
371
  end process;
372
  o_h_sync <= s_h_sync and i_h_sync_en;
373
 
374
 
375 23 sandroamt
  -- set the vertical sync high time and low time according to the constants
376 2 sandroamt
  p_MGM_V_SYNC : process(i_clk)         --, i_reset)
377
  begin
378
    --if falling_edge(i_clk) then
379
    if rising_edge(i_clk) then
380
      if i_v_sync_en = '0' or
381
        (s_v_count = (c_V_DISPLAYln + c_V_BACKPORCHln)) then
382
        o_v_sync <= '0';
383
      elsif (s_v_count = (c_V_PERIODln - c_V_FRONTPORCHln)) then  --and (s_h_sync_pulse = '1') then
384
        o_v_sync <= '1';
385
      end if;
386
    end if;
387
  end process;
388
 
389 23 sandroamt
 
390 2 sandroamt
  -- asserts the blaking signal (active low)
391
  p_MGM_BLANK : process (i_clk)         --, i_reset)
392
  begin
393
    if rising_edge(i_clk) then
394
      -- if we are outside the visible range on the screen then tell the RAMDAC to blank
395
      -- in this section by putting s_display low
396
      if not (s_h_count < c_H_DISPLAYpx and s_v_count < c_V_DISPLAYln) then
397
        s_display <= '0';
398
      else
399
        s_display <= '1';
400
      end if;
401
    end if;
402
  end process;
403
 
404
 
405 23 sandroamt
  -- generates the r g b signals showing chars, grid and "cross cursor"
406
  p_MGM_RGB : process (i_clk)
407 2 sandroamt
    variable v_previous_pixel : std_logic_vector(9 downto 0) := "0100101100";
408
  begin
409
    if rising_edge(i_clk) then          -- not async reset
410
      if i_reset = '1' then             -- sync reset
411
        o_r <= '0';
412
        o_g <= '0';
413
        o_b <= '0';
414
      else
415
        if s_display = '1' then         -- display zone
416
          if (
417
            (s_h_count = s_cursor_x) or (s_v_count = s_cursor_y) or
418
            (s_h_count(c_GRID_BIT downto 0) = c_GRID_SIZE(c_GRID_BIT downto 0)) or
419
            (s_v_count(c_GRID_BIT downto 0) = c_GRID_SIZE(c_GRID_BIT downto 0))
420
            )
421
            and (s_v_count(9) = '0')    -- < 512
422
          then  -- draw the cursor and/or WaveForm Grid references
423 23 sandroamt
            o_r <= s_cursor_color(2);
424
            o_g <= s_cursor_color(1);
425
            o_b <= s_cursor_color(0);
426 2 sandroamt
          elsif
427
            ((s_v_count(9 downto 0) >= s_waveform_DOB(9 downto 0)) and
428
             (s_v_count(9 downto 0) <= v_previous_pixel)
429
             ) or
430
            ((s_v_count(9 downto 0) <= s_waveform_DOB(9 downto 0)) and
431
             (s_v_count(9 downto 0) >= v_previous_pixel)
432
             )
433
          then                          -- draw the waveform pixel...
434
            o_r <= s_waveform_DOB(12) or s_waveform_DOB(15);  -- the "or" is only
435
            o_g <= s_waveform_DOB(11) or s_waveform_DOB(14);  -- to not warning
436
            o_b <= s_waveform_DOB(10) or s_waveform_DOB(13);  -- unused signals
437
          else                          -- draw the background and charmaps
438
            --if s_v_count > 512 then
439
            --FULL_SCREEN if (s_v_count(9) = '1') then -- >= 512
440
            case (s_h_count(2 downto 0)) is
441 23 sandroamt
              when "000"  => o_g <= s_charmaps_DO(7) xor s_bg_color(1);
442
              when "001"  => o_g <= s_charmaps_DO(6) xor s_bg_color(1);
443
              when "010"  => o_g <= s_charmaps_DO(5) xor s_bg_color(1);
444
              when "011"  => o_g <= s_charmaps_DO(4) xor s_bg_color(1);
445
              when "100"  => o_g <= s_charmaps_DO(3) xor s_bg_color(1);
446
              when "101"  => o_g <= s_charmaps_DO(2) xor s_bg_color(1);
447
              when "110"  => o_g <= s_charmaps_DO(1) xor s_bg_color(1);
448
              when "111"  => o_g <= s_charmaps_DO(0) xor s_bg_color(1);
449 2 sandroamt
              when others => o_g <= 'X';
450
            end case;
451 23 sandroamt
 
452
            o_r <= s_bg_color(2);
453
            --o_g <= s_bg_color(1);
454
            o_b <= s_bg_color(0);
455 2 sandroamt
          end if;
456
        else                            -- blank zone
457
          -- the blanking zone
458
          o_r <= '0';
459
          o_g <= '0';
460
          o_b <= '0';
461
        end if;  -- if s_display
462
        v_previous_pixel := s_waveform_DOB(9 downto 0);
463
      end if;  -- if i_reset
464
    end if;
465
  end process;
466
 
467
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.