OpenCores
URL https://opencores.org/ocsvn/yavga/yavga/trunk

Subversion Repositories yavga

[/] [yavga/] [trunk/] [vhdl/] [waveform_RAM.vhd] - Blame information for rev 36

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sandroamt
--------------------------------------------------------------------------------
2
----                                                                        ----
3
---- This file is part of the yaVGA project                                 ----
4
---- http://www.opencores.org/?do=project&who=yavga                         ----
5
----                                                                        ----
6
---- Description                                                            ----
7
---- Implementation of yaVGA IP core                                        ----
8
----                                                                        ----
9
---- To Do:                                                                 ----
10
----                                                                        ----
11
----                                                                        ----
12
---- Author(s):                                                             ----
13
---- Sandro Amato, sdroamt@netscape.net                                     ----
14
----                                                                        ----
15
--------------------------------------------------------------------------------
16
----                                                                        ----
17
---- Copyright (c) 2009, Sandro Amato                                       ----
18
---- All rights reserved.                                                   ----
19
----                                                                        ----
20
---- Redistribution  and  use in  source  and binary forms, with or without ----
21
---- modification,  are  permitted  provided that  the following conditions ----
22
---- are met:                                                               ----
23
----                                                                        ----
24
----     * Redistributions  of  source  code  must  retain the above        ----
25
----       copyright   notice,  this  list  of  conditions  and  the        ----
26
----       following disclaimer.                                            ----
27
----     * Redistributions  in  binary form must reproduce the above        ----
28
----       copyright   notice,  this  list  of  conditions  and  the        ----
29
----       following  disclaimer in  the documentation and/or  other        ----
30
----       materials provided with the distribution.                        ----
31
----     * Neither  the  name  of  SANDRO AMATO nor the names of its        ----
32
----       contributors may be used to  endorse or  promote products        ----
33
----       derived from this software without specific prior written        ----
34
----       permission.                                                      ----
35
----                                                                        ----
36
---- THIS SOFTWARE IS PROVIDED  BY THE COPYRIGHT  HOLDERS AND  CONTRIBUTORS ----
37
---- "AS IS"  AND  ANY EXPRESS OR  IMPLIED  WARRANTIES, INCLUDING,  BUT NOT ----
38
---- LIMITED  TO, THE  IMPLIED  WARRANTIES  OF MERCHANTABILITY  AND FITNESS ----
39
---- FOR  A PARTICULAR  PURPOSE  ARE  DISCLAIMED. IN  NO  EVENT  SHALL  THE ----
40
---- COPYRIGHT  OWNER  OR CONTRIBUTORS  BE LIABLE FOR ANY DIRECT, INDIRECT, ----
41
---- INCIDENTAL,  SPECIAL,  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, ----
42
---- BUT  NOT LIMITED  TO,  PROCUREMENT OF  SUBSTITUTE  GOODS  OR SERVICES; ----
43
---- LOSS  OF  USE,  DATA,  OR PROFITS;  OR  BUSINESS INTERRUPTION) HOWEVER ----
44
---- CAUSED  AND  ON  ANY THEORY  OF LIABILITY, WHETHER IN CONTRACT, STRICT ----
45
---- LIABILITY,  OR  TORT  (INCLUDING  NEGLIGENCE  OR OTHERWISE) ARISING IN ----
46
---- ANY  WAY OUT  OF THE  USE  OF  THIS  SOFTWARE,  EVEN IF ADVISED OF THE ----
47
---- POSSIBILITY OF SUCH DAMAGE.                                            ----
48
--------------------------------------------------------------------------------
49
 
50
library IEEE;
51
use IEEE.STD_LOGIC_1164.all;
52
use IEEE.STD_LOGIC_ARITH.all;
53
use IEEE.STD_LOGIC_UNSIGNED.all;
54
 
55 28 sandroamt
use work.yavga_pkg.all;
56
 
57 2 sandroamt
--  Uncomment the following lines to use the declarations that are
58
--  provided for instantiating Xilinx primitive components.
59 36 sandroamt
--library UNISIM;
60
--use UNISIM.VComponents.all;
61 2 sandroamt
 
62
entity waveform_RAM is
63
  port (
64 28 sandroamt
    i_DIA    : in  std_logic_vector(c_WAVFRM_DATA_BUS_W - 1 downto 0);  -- 16-bit Data Input
65 2 sandroamt
    -- i_DIPA   : in std_logic;                       -- 2-bit parity Input
66
    -- i_ENA    : in std_logic;                       -- RAM Enable Input
67 28 sandroamt
    i_WEA    : in  std_logic;           -- Write Enable Input
68 2 sandroamt
    -- i_SSRA   : in std_logic;                       -- Synchronous Set/Reset Input
69 28 sandroamt
    i_clockA : in  std_logic;           -- Clock
70
    i_ADDRA  : in  std_logic_vector(c_WAVFRM_ADDR_BUS_W - 1 downto 0);  -- 10-bit Address Input
71
    --o_DOA     : out std_logic_vector(c_WAVFRM_DATA_BUS_W - 1 downto 0);  -- 16-bit Data Output
72 2 sandroamt
    -- o_DOPA   : out std_logic                       -- 2-bit parity Output
73
    --
74 28 sandroamt
    i_DIB    : in  std_logic_vector(c_WAVFRM_DATA_BUS_W - 1 downto 0);  -- 16-bit Data Input
75 2 sandroamt
    -- i_DIPB   : in std_logic;                       -- 2-bit parity Input
76
    -- i_ENB    : in std_logic;                       -- RAM Enable Input
77 28 sandroamt
    i_WEB    : in  std_logic;           -- Write Enable Input
78 2 sandroamt
    -- i_SSRB   : in std_logic;                       -- Synchronous Set/Reset Input
79 28 sandroamt
    i_clockB : in  std_logic;           -- Clock
80
    i_ADDRB  : in  std_logic_vector(c_WAVFRM_ADDR_BUS_W - 1 downto 0);  -- 10-bit Address Input
81
    o_DOB    : out std_logic_vector(c_WAVFRM_DATA_BUS_W - 1 downto 0)  -- 16-bit Data Output
82 2 sandroamt
    -- o_DOPB   : out std_logic                       -- 2-bit parity Output
83
    );
84
end waveform_RAM;
85
 
86 36 sandroamt
architecture Behavioral of waveform_RAM is
87 2 sandroamt
 
88 36 sandroamt
  constant c_ram_size : natural := 2**(c_WAVFRM_ADDR_BUS_W);
89
 
90
  type t_ram is array (c_ram_size-1 downto 0) of
91
    std_logic_vector (c_WAVFRM_DATA_BUS_W - 1 downto 0);
92
 
93
  shared variable v_ram : t_ram := (
94
 
95
    1      => X"1000" or X"00BE",
96
    2      => X"1000" or X"00BD",
97
    3      => X"1000" or X"00BD",
98
    4      => X"1000" or X"00BC",
99
    5      => X"1000" or X"00BB",
100
    6      => X"1000" or X"00BB",
101
    7      => X"1000" or X"00BA",
102
    8      => X"1000" or X"00B9",
103
    9      => X"1000" or X"00B9",
104
    10     => X"1000" or X"00B8",
105
    11     => X"1000" or X"00B7",
106
    12     => X"1000" or X"00B7",
107
    13     => X"1000" or X"00B6",
108
    14     => X"1000" or X"00B5",
109
    15     => X"1000" or X"00B5",
110
    16     => X"1000" or X"00B4",
111
    17     => X"1000" or X"00B3",
112
    18     => X"1000" or X"00B3",
113
    19     => X"1000" or X"00B2",
114
    20     => X"1000" or X"00B1",
115
    21     => X"1000" or X"00B1",
116
    22     => X"1000" or X"00B0",
117
    23     => X"1000" or X"00B0",
118
    24     => X"1000" or X"00AF",
119
    25     => X"1000" or X"00AE",
120
    26     => X"1000" or X"00AE",
121
    27     => X"1000" or X"00AD",
122
    28     => X"1000" or X"00AD",
123
    29     => X"1000" or X"00AC",
124
    30     => X"1000" or X"00AB",
125
    31     => X"1000" or X"00AB",
126
    32     => X"1000" or X"00AA",
127
    33     => X"1000" or X"00AA",
128
    34     => X"1000" or X"00A9",
129
    35     => X"1000" or X"00A9",
130
    36     => X"1000" or X"00A8",
131
    37     => X"1000" or X"00A8",
132
    38     => X"1000" or X"00A7",
133
    39     => X"1000" or X"00A7",
134
    40     => X"1000" or X"00A6",
135
    41     => X"1000" or X"00A6",
136
    42     => X"1000" or X"00A5",
137
    43     => X"1000" or X"00A5",
138
    44     => X"1000" or X"00A4",
139
    45     => X"1000" or X"00A4",
140
    46     => X"1000" or X"00A3",
141
    47     => X"1000" or X"00A3",
142
    48     => X"1000" or X"00A2",
143
    49     => X"1000" or X"00A2",
144
    50     => X"1000" or X"00A2",
145
    51     => X"1000" or X"00A1",
146
    52     => X"1000" or X"00A1",
147
    53     => X"1000" or X"00A1",
148
    54     => X"1000" or X"00A0",
149
    55     => X"1000" or X"00A0",
150
    56     => X"1000" or X"00A0",
151
    57     => X"1000" or X"009F",
152
    58     => X"1000" or X"009F",
153
    59     => X"1000" or X"009F",
154
    60     => X"1000" or X"009E",
155
    61     => X"1000" or X"009E",
156
    62     => X"1000" or X"009E",
157
    63     => X"1000" or X"009E",
158
    64     => X"1000" or X"009E",
159
    65     => X"1000" or X"009D",
160
    66     => X"1000" or X"009D",
161
    67     => X"1000" or X"009D",
162
    68     => X"1000" or X"009D",
163
    69     => X"1000" or X"009D",
164
    70     => X"1000" or X"009D",
165
    71     => X"1000" or X"009D",
166
    72     => X"1000" or X"009D",
167
    73     => X"1000" or X"009D",
168
    74     => X"1000" or X"009D",
169
    75     => X"1000" or X"009D",
170
    76     => X"1000" or X"009D",
171
    77     => X"1000" or X"009D",
172
    78     => X"1000" or X"009D",
173
    79     => X"1000" or X"009D",
174
    80     => X"1000" or X"009D",
175
    81     => X"1000" or X"009D",
176
    82     => X"1000" or X"009D",
177
    83     => X"1000" or X"009D",
178
    84     => X"1000" or X"009D",
179
    85     => X"1000" or X"009D",
180
    86     => X"1000" or X"009E",
181
    87     => X"1000" or X"009E",
182
    88     => X"1000" or X"009E",
183
    89     => X"1000" or X"009E",
184
    90     => X"1000" or X"009E",
185
    91     => X"1000" or X"009F",
186
    92     => X"1000" or X"009F",
187
    93     => X"1000" or X"009F",
188
    94     => X"1000" or X"00A0",
189
    95     => X"1000" or X"00A0",
190
    96     => X"1000" or X"00A0",
191
    97     => X"1000" or X"00A1",
192
    98     => X"1000" or X"00A1",
193
    99     => X"1000" or X"00A2",
194
    100    => X"1000" or X"00A2",
195
    101    => X"1000" or X"00A3",
196
    102    => X"1000" or X"00A3",
197
    103    => X"1000" or X"00A4",
198
    104    => X"1000" or X"00A4",
199
    105    => X"1000" or X"00A5",
200
    106    => X"1000" or X"00A5",
201
    107    => X"1000" or X"00A6",
202
    108    => X"1000" or X"00A7",
203
    109    => X"1000" or X"00A7",
204
    110    => X"1000" or X"00A8",
205
    111    => X"1000" or X"00A8",
206
    112    => X"1000" or X"00A9",
207
    113    => X"1000" or X"00AA",
208
    114    => X"1000" or X"00AB",
209
    115    => X"1000" or X"00AB",
210
    116    => X"1000" or X"00AC",
211
    117    => X"1000" or X"00AD",
212
    118    => X"1000" or X"00AE",
213
    119    => X"1000" or X"00AF",
214
    120    => X"1000" or X"00AF",
215
    121    => X"1000" or X"00B0",
216
    122    => X"1000" or X"00B1",
217
    123    => X"1000" or X"00B2",
218
    124    => X"1000" or X"00B3",
219
    125    => X"1000" or X"00B4",
220
    126    => X"1000" or X"00B5",
221
    127    => X"1000" or X"00B6",
222
    128    => X"1000" or X"00B7",
223
    129    => X"1000" or X"00B8",
224
    130    => X"1000" or X"00B9",
225
    131    => X"1000" or X"00BA",
226
    132    => X"1000" or X"00BB",
227
    133    => X"1000" or X"00BC",
228
    134    => X"1000" or X"00BD",
229
    135    => X"1000" or X"00BE",
230
    136    => X"1000" or X"00C0",
231
    137    => X"1000" or X"00C1",
232
    138    => X"1000" or X"00C2",
233
    139    => X"1000" or X"00C3",
234
    140    => X"1000" or X"00C4",
235
    141    => X"1000" or X"00C6",
236
    142    => X"1000" or X"00C7",
237
    143    => X"1000" or X"00C8",
238
    144    => X"1000" or X"00C9",
239
    145    => X"1000" or X"00CB",
240
    146    => X"1000" or X"00CC",
241
    147    => X"1000" or X"00CD",
242
    148    => X"1000" or X"00CF",
243
    149    => X"1000" or X"00D0",
244
    150    => X"1000" or X"00D1",
245
    151    => X"1000" or X"00D3",
246
    152    => X"1000" or X"00D4",
247
    153    => X"1000" or X"00D6",
248
    154    => X"1000" or X"00D7",
249
    155    => X"1000" or X"00D8",
250
    156    => X"1000" or X"00DA",
251
    157    => X"1000" or X"00DB",
252
    158    => X"1000" or X"00DD",
253
    159    => X"1000" or X"00DE",
254
    160    => X"1000" or X"00E0",
255
    161    => X"1000" or X"00E1",
256
    162    => X"1000" or X"00E3",
257
    163    => X"1000" or X"00E5",
258
    164    => X"1000" or X"00E6",
259
    165    => X"1000" or X"00E8",
260
    166    => X"1000" or X"00E9",
261
    167    => X"1000" or X"00EB",
262
    168    => X"1000" or X"00EC",
263
    169    => X"1000" or X"00EE",
264
    170    => X"1000" or X"00F0",
265
    171    => X"1000" or X"00F1",
266
    172    => X"1000" or X"00F3",
267
    173    => X"1000" or X"00F5",
268
    174    => X"1000" or X"00F6",
269
    175    => X"1000" or X"00F8",
270
    176    => X"1000" or X"00FA",
271
    177    => X"1000" or X"00FB",
272
    178    => X"1000" or X"00FD",
273
    179    => X"1000" or X"00FF",
274
    180    => X"1000" or X"0100",
275
    181    => X"1000" or X"0102",
276
    182    => X"1000" or X"0104",
277
    183    => X"1000" or X"0105",
278
    184    => X"1000" or X"0107",
279
    185    => X"1000" or X"0109",
280
    186    => X"1000" or X"010B",
281
    187    => X"1000" or X"010C",
282
    188    => X"1000" or X"010E",
283
    189    => X"1000" or X"0110",
284
    190    => X"1000" or X"0111",
285
    191    => X"1000" or X"0113",
286
    192    => X"1000" or X"0115",
287
    193    => X"1000" or X"0117",
288
    194    => X"1000" or X"0118",
289
    195    => X"1000" or X"011A",
290
    196    => X"1000" or X"011C",
291
    197    => X"1000" or X"011E",
292
    198    => X"1000" or X"011F",
293
    199    => X"1000" or X"0121",
294
    200    => X"1000" or X"0123",
295
    201    => X"1000" or X"0125",
296
    202    => X"1000" or X"0126",
297
    203    => X"1000" or X"0128",
298
    204    => X"1000" or X"012A",
299
    205    => X"1000" or X"012C",
300
    206    => X"1000" or X"012D",
301
    207    => X"1000" or X"012F",
302
    208    => X"1000" or X"0131",
303
    209    => X"1000" or X"0132",
304
    210    => X"1000" or X"0134",
305
    211    => X"1000" or X"0136",
306
    212    => X"1000" or X"0138",
307
    213    => X"1000" or X"0139",
308
    214    => X"1000" or X"013B",
309
    215    => X"1000" or X"013D",
310
    216    => X"1000" or X"013E",
311
    217    => X"1000" or X"0140",
312
    218    => X"1000" or X"0142",
313
    219    => X"1000" or X"0143",
314
    220    => X"1000" or X"0145",
315
    221    => X"1000" or X"0147",
316
    222    => X"1000" or X"0148",
317
    223    => X"1000" or X"014A",
318
    224    => X"1000" or X"014B",
319
    225    => X"1000" or X"014D",
320
    226    => X"1000" or X"014F",
321
    227    => X"1000" or X"0150",
322
    228    => X"1000" or X"0152",
323
    229    => X"1000" or X"0153",
324
    230    => X"1000" or X"0155",
325
    231    => X"1000" or X"0156",
326
    232    => X"1000" or X"0158",
327
    233    => X"1000" or X"0159",
328
    234    => X"1000" or X"015B",
329
    235    => X"1000" or X"015C",
330
    236    => X"1000" or X"015E",
331
    237    => X"1000" or X"015F",
332
    238    => X"1000" or X"0161",
333
    239    => X"1000" or X"0162",
334
    240    => X"1000" or X"0163",
335
    241    => X"1000" or X"0165",
336
    242    => X"1000" or X"0166",
337
    243    => X"1000" or X"0167",
338
    244    => X"1000" or X"0169",
339
    245    => X"1000" or X"016A",
340
    246    => X"1000" or X"016B",
341
    247    => X"1000" or X"016D",
342
    248    => X"1000" or X"016E",
343
    249    => X"1000" or X"016F",
344
    250    => X"1000" or X"0170",
345
    251    => X"1000" or X"0171",
346
    252    => X"1000" or X"0173",
347
    253    => X"1000" or X"0174",
348
    254    => X"1000" or X"0175",
349
    255    => X"1000" or X"0176",
350
    256    => X"1000" or X"0177",
351
    257    => X"1000" or X"0178",
352
    258    => X"1000" or X"0179",
353
    259    => X"1000" or X"017A",
354
    260    => X"1000" or X"017B",
355
    261    => X"1000" or X"017C",
356
    262    => X"1000" or X"017D",
357
    263    => X"1000" or X"017E",
358
    264    => X"1000" or X"017F",
359
    265    => X"1000" or X"0180",
360
    266    => X"1000" or X"0181",
361
    267    => X"1000" or X"0182",
362
    268    => X"1000" or X"0183",
363
    269    => X"1000" or X"0183",
364
    270    => X"1000" or X"0184",
365
    271    => X"1000" or X"0185",
366
    272    => X"1000" or X"0186",
367
    273    => X"1000" or X"0186",
368
    274    => X"1000" or X"0187",
369
    275    => X"1000" or X"0188",
370
    276    => X"1000" or X"0188",
371
    277    => X"1000" or X"0189",
372
    278    => X"1000" or X"018A",
373
    279    => X"1000" or X"018A",
374
    280    => X"1000" or X"018B",
375
    281    => X"1000" or X"018B",
376
    282    => X"1000" or X"018C",
377
    283    => X"1000" or X"018C",
378
    284    => X"1000" or X"018D",
379
    285    => X"1000" or X"018D",
380
    286    => X"1000" or X"018D",
381
    287    => X"1000" or X"018E",
382
    288    => X"1000" or X"018E",
383
    289    => X"1000" or X"018E",
384
    290    => X"1000" or X"018F",
385
    291    => X"1000" or X"018F",
386
    292    => X"1000" or X"018F",
387
    293    => X"1000" or X"018F",
388
    294    => X"1000" or X"0190",
389
    295    => X"1000" or X"0190",
390
    296    => X"1000" or X"0190",
391
    297    => X"1000" or X"0190",
392
    298    => X"1000" or X"0190",
393
    299    => X"1000" or X"0190",
394
    300    => X"1000" or X"0190",
395
    301    => X"1000" or X"0190",
396
    302    => X"1000" or X"0190",
397
    303    => X"1000" or X"0190",
398
    304    => X"1000" or X"0190",
399
    305    => X"1000" or X"0190",
400
    306    => X"1000" or X"0190",
401
    307    => X"1000" or X"018F",
402
    308    => X"1000" or X"018F",
403
    309    => X"1000" or X"018F",
404
    310    => X"1000" or X"018F",
405
    311    => X"1000" or X"018E",
406
    312    => X"1000" or X"018E",
407
    313    => X"1000" or X"018E",
408
    314    => X"1000" or X"018D",
409
    315    => X"1000" or X"018D",
410
    316    => X"1000" or X"018D",
411
    317    => X"1000" or X"018C",
412
    318    => X"1000" or X"018C",
413
    319    => X"1000" or X"018B",
414
    320    => X"1000" or X"018B",
415
    321    => X"1000" or X"018A",
416
    322    => X"1000" or X"018A",
417
    323    => X"1000" or X"0189",
418
    324    => X"1000" or X"0188",
419
    325    => X"1000" or X"0188",
420
    326    => X"1000" or X"0187",
421
    327    => X"1000" or X"0186",
422
    328    => X"1000" or X"0186",
423
    329    => X"1000" or X"0185",
424
    330    => X"1000" or X"0184",
425
    331    => X"1000" or X"0183",
426
    332    => X"1000" or X"0183",
427
    333    => X"1000" or X"0182",
428
    334    => X"1000" or X"0181",
429
    335    => X"1000" or X"0180",
430
    336    => X"1000" or X"017F",
431
    337    => X"1000" or X"017E",
432
    338    => X"1000" or X"017D",
433
    339    => X"1000" or X"017C",
434
    340    => X"1000" or X"017B",
435
    341    => X"1000" or X"017A",
436
    342    => X"1000" or X"0179",
437
    343    => X"1000" or X"0178",
438
    344    => X"1000" or X"0177",
439
    345    => X"1000" or X"0176",
440
    346    => X"1000" or X"0175",
441
    347    => X"1000" or X"0174",
442
    348    => X"1000" or X"0173",
443
    349    => X"1000" or X"0171",
444
    350    => X"1000" or X"0170",
445
    351    => X"1000" or X"016F",
446
    352    => X"1000" or X"016E",
447
    353    => X"1000" or X"016D",
448
    354    => X"1000" or X"016B",
449
    355    => X"1000" or X"016A",
450
    356    => X"1000" or X"0169",
451
    357    => X"1000" or X"0167",
452
    358    => X"1000" or X"0166",
453
    359    => X"1000" or X"0165",
454
    360    => X"1000" or X"0163",
455
    361    => X"1000" or X"0162",
456
    362    => X"1000" or X"0161",
457
    363    => X"1000" or X"015F",
458
    364    => X"1000" or X"015E",
459
    365    => X"1000" or X"015C",
460
    366    => X"1000" or X"015B",
461
    367    => X"1000" or X"0159",
462
    368    => X"1000" or X"0158",
463
    369    => X"1000" or X"0156",
464
    370    => X"1000" or X"0155",
465
    371    => X"1000" or X"0153",
466
    372    => X"1000" or X"0152",
467
    373    => X"1000" or X"0150",
468
    374    => X"1000" or X"014F",
469
    375    => X"1000" or X"014D",
470
    376    => X"1000" or X"014B",
471
    377    => X"1000" or X"014A",
472
    378    => X"1000" or X"0148",
473
    379    => X"1000" or X"0147",
474
    380    => X"1000" or X"0145",
475
    381    => X"1000" or X"0143",
476
    382    => X"1000" or X"0142",
477
    383    => X"1000" or X"0140",
478
    384    => X"1000" or X"013E",
479
    385    => X"1000" or X"013D",
480
    386    => X"1000" or X"013B",
481
    387    => X"1000" or X"0139",
482
    388    => X"1000" or X"0138",
483
    389    => X"1000" or X"0136",
484
    390    => X"1000" or X"0134",
485
    391    => X"1000" or X"0132",
486
    392    => X"1000" or X"0131",
487
    393    => X"1000" or X"012F",
488
    394    => X"1000" or X"012D",
489
    395    => X"1000" or X"012C",
490
    396    => X"1000" or X"012A",
491
    397    => X"1000" or X"0128",
492
    398    => X"1000" or X"0126",
493
    399    => X"1000" or X"0125",
494
    400    => X"1000" or X"0123",
495
    401    => X"1000" or X"0121",
496
    402    => X"1000" or X"011F",
497
    403    => X"1000" or X"011E",
498
    404    => X"1000" or X"011C",
499
    405    => X"1000" or X"011A",
500
    406    => X"1000" or X"0118",
501
    407    => X"1000" or X"0117",
502
    408    => X"1000" or X"0115",
503
    409    => X"1000" or X"0113",
504
    410    => X"1000" or X"0111",
505
    411    => X"1000" or X"0110",
506
    412    => X"1000" or X"010E",
507
    413    => X"1000" or X"010C",
508
    414    => X"1000" or X"010B",
509
    415    => X"1000" or X"0109",
510
    416    => X"1000" or X"0107",
511
    417    => X"1000" or X"0105",
512
    418    => X"1000" or X"0104",
513
    419    => X"1000" or X"0102",
514
    420    => X"1000" or X"0100",
515
    421    => X"1000" or X"00FF",
516
    422    => X"1000" or X"00FD",
517
    423    => X"1000" or X"00FB",
518
    424    => X"1000" or X"00FA",
519
    425    => X"1000" or X"00F8",
520
    426    => X"1000" or X"00F6",
521
    427    => X"1000" or X"00F5",
522
    428    => X"1000" or X"00F3",
523
    429    => X"1000" or X"00F1",
524
    430    => X"1000" or X"00F0",
525
    431    => X"1000" or X"00EE",
526
    432    => X"1000" or X"00EC",
527
    433    => X"1000" or X"00EB",
528
    434    => X"1000" or X"00E9",
529
    435    => X"1000" or X"00E8",
530
    436    => X"1000" or X"00E6",
531
    437    => X"1000" or X"00E5",
532
    438    => X"1000" or X"00E3",
533
    439    => X"1000" or X"00E1",
534
    440    => X"1000" or X"00E0",
535
    441    => X"1000" or X"00DE",
536
    442    => X"1000" or X"00DD",
537
    443    => X"1000" or X"00DB",
538
    444    => X"1000" or X"00DA",
539
    445    => X"1000" or X"00D8",
540
    446    => X"1000" or X"00D7",
541
    447    => X"1000" or X"00D6",
542
    448    => X"1000" or X"00D4",
543
    449    => X"1000" or X"00D3",
544
    450    => X"1000" or X"00D1",
545
    451    => X"1000" or X"00D0",
546
    452    => X"1000" or X"00CF",
547
    453    => X"1000" or X"00CD",
548
    454    => X"1000" or X"00CC",
549
    455    => X"1000" or X"00CB",
550
    456    => X"1000" or X"00C9",
551
    457    => X"1000" or X"00C8",
552
    458    => X"1000" or X"00C7",
553
    459    => X"1000" or X"00C6",
554
    460    => X"1000" or X"00C4",
555
    461    => X"1000" or X"00C3",
556
    462    => X"1000" or X"00C2",
557
    463    => X"1000" or X"00C1",
558
    464    => X"1000" or X"00C0",
559
    465    => X"1000" or X"00BE",
560
    466    => X"1000" or X"00BD",
561
    467    => X"1000" or X"00BC",
562
    468    => X"1000" or X"00BB",
563
    469    => X"1000" or X"00BA",
564
    470    => X"1000" or X"00B9",
565
    471    => X"1000" or X"00B8",
566
    472    => X"1000" or X"00B7",
567
    473    => X"1000" or X"00B6",
568
    474    => X"1000" or X"00B5",
569
    475    => X"1000" or X"00B4",
570
    476    => X"1000" or X"00B3",
571
    477    => X"1000" or X"00B2",
572
    478    => X"1000" or X"00B1",
573
    479    => X"1000" or X"00B0",
574
    480    => X"1000" or X"00AF",
575
    481    => X"1000" or X"00AF",
576
    482    => X"1000" or X"00AE",
577
    483    => X"1000" or X"00AD",
578
    484    => X"1000" or X"00AC",
579
    485    => X"1000" or X"00AB",
580
    486    => X"1000" or X"00AB",
581
    487    => X"1000" or X"00AA",
582
    488    => X"1000" or X"00A9",
583
    489    => X"1000" or X"00A8",
584
    490    => X"1000" or X"00A8",
585
    491    => X"1000" or X"00A7",
586
    492    => X"1000" or X"00A7",
587
    493    => X"1000" or X"00A6",
588
    494    => X"1000" or X"00A5",
589
    495    => X"1000" or X"00A5",
590
    496    => X"1000" or X"00A4",
591
    497    => X"1000" or X"00A4",
592
    498    => X"1000" or X"00A3",
593
    499    => X"1000" or X"00A3",
594
    500    => X"1000" or X"00A2",
595
    501    => X"1000" or X"00A2",
596
    502    => X"1000" or X"00A1",
597
    503    => X"1000" or X"00A1",
598
    504    => X"1000" or X"00A0",
599
    505    => X"1000" or X"00A0",
600
    506    => X"1000" or X"00A0",
601
    507    => X"1000" or X"009F",
602
    508    => X"1000" or X"009F",
603
    509    => X"1000" or X"009F",
604
    510    => X"1000" or X"009E",
605
    511    => X"1000" or X"009E",
606
    512    => X"1000" or X"009E",
607
    513    => X"1000" or X"009E",
608
    514    => X"1000" or X"009E",
609
    515    => X"1000" or X"009D",
610
    516    => X"1000" or X"009D",
611
    517    => X"1000" or X"009D",
612
    518    => X"1000" or X"009D",
613
    519    => X"1000" or X"009D",
614
    520    => X"1000" or X"009D",
615
    521    => X"1000" or X"009D",
616
    522    => X"1000" or X"009D",
617
    523    => X"1000" or X"009D",
618
    524    => X"1000" or X"009D",
619
    525    => X"1000" or X"009D",
620
    526    => X"1000" or X"009D",
621
    527    => X"1000" or X"009D",
622
    528    => X"1000" or X"009D",
623
    529    => X"1000" or X"009D",
624
    530    => X"1000" or X"009D",
625
    531    => X"1000" or X"009D",
626
    532    => X"1000" or X"009D",
627
    533    => X"1000" or X"009D",
628
    534    => X"1000" or X"009D",
629
    535    => X"1000" or X"009D",
630
    536    => X"1000" or X"009E",
631
    537    => X"1000" or X"009E",
632
    538    => X"1000" or X"009E",
633
    539    => X"1000" or X"009E",
634
    540    => X"1000" or X"009E",
635
    541    => X"1000" or X"009F",
636
    542    => X"1000" or X"009F",
637
    543    => X"1000" or X"009F",
638
    544    => X"1000" or X"00A0",
639
    545    => X"1000" or X"00A0",
640
    546    => X"1000" or X"00A0",
641
    547    => X"1000" or X"00A1",
642
    548    => X"1000" or X"00A1",
643
    549    => X"1000" or X"00A1",
644
    550    => X"1000" or X"00A2",
645
    551    => X"1000" or X"00A2",
646
    552    => X"1000" or X"00A2",
647
    553    => X"1000" or X"00A3",
648
    554    => X"1000" or X"00A3",
649
    555    => X"1000" or X"00A4",
650
    556    => X"1000" or X"00A4",
651
    557    => X"1000" or X"00A5",
652
    558    => X"1000" or X"00A5",
653
    559    => X"1000" or X"00A6",
654
    560    => X"1000" or X"00A6",
655
    561    => X"1000" or X"00A7",
656
    562    => X"1000" or X"00A7",
657
    563    => X"1000" or X"00A8",
658
    564    => X"1000" or X"00A8",
659
    565    => X"1000" or X"00A9",
660
    566    => X"1000" or X"00A9",
661
    567    => X"1000" or X"00AA",
662
    568    => X"1000" or X"00AA",
663
    569    => X"1000" or X"00AB",
664
    570    => X"1000" or X"00AB",
665
    571    => X"1000" or X"00AC",
666
    572    => X"1000" or X"00AD",
667
    573    => X"1000" or X"00AD",
668
    574    => X"1000" or X"00AE",
669
    575    => X"1000" or X"00AE",
670
    576    => X"1000" or X"00AF",
671
    577    => X"1000" or X"00B0",
672
    578    => X"1000" or X"00B0",
673
    579    => X"1000" or X"00B1",
674
    580    => X"1000" or X"00B1",
675
    581    => X"1000" or X"00B2",
676
    582    => X"1000" or X"00B3",
677
    583    => X"1000" or X"00B3",
678
    584    => X"1000" or X"00B4",
679
    585    => X"1000" or X"00B5",
680
    586    => X"1000" or X"00B5",
681
    587    => X"1000" or X"00B6",
682
    588    => X"1000" or X"00B7",
683
    589    => X"1000" or X"00B7",
684
    590    => X"1000" or X"00B8",
685
    591    => X"1000" or X"00B9",
686
    592    => X"1000" or X"00B9",
687
    593    => X"1000" or X"00BA",
688
    594    => X"1000" or X"00BB",
689
    595    => X"1000" or X"00BB",
690
    596    => X"1000" or X"00BC",
691
    597    => X"1000" or X"00BD",
692
    598    => X"1000" or X"00BD",
693
    599    => X"1000" or X"00BE",
694
    600    => X"1000" or X"00BF",
695
    601    => X"1000" or X"00BF",
696
    602    => X"1000" or X"00C0",
697
    603    => X"1000" or X"00C1",
698
    604    => X"1000" or X"00C1",
699
    605    => X"1000" or X"00C2",
700
    606    => X"1000" or X"00C3",
701
    607    => X"1000" or X"00C3",
702
    608    => X"1000" or X"00C4",
703
    609    => X"1000" or X"00C5",
704
    610    => X"1000" or X"00C5",
705
    611    => X"1000" or X"00C6",
706
    612    => X"1000" or X"00C7",
707
    613    => X"1000" or X"00C7",
708
    614    => X"1000" or X"00C8",
709
    615    => X"1000" or X"00C9",
710
    616    => X"1000" or X"00C9",
711
    617    => X"1000" or X"00CA",
712
    618    => X"1000" or X"00CA",
713
    619    => X"1000" or X"00CB",
714
    620    => X"1000" or X"00CC",
715
    621    => X"1000" or X"00CC",
716
    622    => X"1000" or X"00CD",
717
    623    => X"1000" or X"00CD",
718
    624    => X"1000" or X"00CE",
719
    625    => X"1000" or X"00CF",
720
    626    => X"1000" or X"00CF",
721
    627    => X"1000" or X"00D0",
722
    628    => X"1000" or X"00D0",
723
    629    => X"1000" or X"00D1",
724
    630    => X"1000" or X"00D1",
725
    631    => X"1000" or X"00D2",
726
    632    => X"1000" or X"00D3",
727
    633    => X"1000" or X"00D3",
728
    634    => X"1000" or X"00D4",
729
    635    => X"1000" or X"00D4",
730
    636    => X"1000" or X"00D5",
731
    637    => X"1000" or X"00D5",
732
    638    => X"1000" or X"00D6",
733
    639    => X"1000" or X"00D6",
734
    640    => X"1000" or X"00D7",
735
    641    => X"1000" or X"00D7",
736
    642    => X"1000" or X"00D7",
737
    643    => X"1000" or X"00D8",
738
    644    => X"1000" or X"00D8",
739
    645    => X"1000" or X"00D9",
740
    646    => X"1000" or X"00D9",
741
    647    => X"1000" or X"00DA",
742
    648    => X"1000" or X"00DA",
743
    649    => X"1000" or X"00DA",
744
    650    => X"1000" or X"00DB",
745
    651    => X"1000" or X"00DB",
746
    652    => X"1000" or X"00DC",
747
    653    => X"1000" or X"00DC",
748
    654    => X"1000" or X"00DC",
749
    655    => X"1000" or X"00DD",
750
    656    => X"1000" or X"00DD",
751
    657    => X"1000" or X"00DD",
752
    658    => X"1000" or X"00DD",
753
    659    => X"1000" or X"00DE",
754
    660    => X"1000" or X"00DE",
755
    661    => X"1000" or X"00DE",
756
    662    => X"1000" or X"00DF",
757
    663    => X"1000" or X"00DF",
758
    664    => X"1000" or X"00DF",
759
    665    => X"1000" or X"00DF",
760
    666    => X"1000" or X"00E0",
761
    667    => X"1000" or X"00E0",
762
    668    => X"1000" or X"00E0",
763
    669    => X"1000" or X"00E0",
764
    670    => X"1000" or X"00E0",
765
    671    => X"1000" or X"00E0",
766
    672    => X"1000" or X"00E1",
767
    673    => X"1000" or X"00E1",
768
    674    => X"1000" or X"00E1",
769
    675    => X"1000" or X"00E1",
770
    676    => X"1000" or X"00E1",
771
    677    => X"1000" or X"00E1",
772
    678    => X"1000" or X"00E1",
773
    679    => X"1000" or X"00E1",
774
    680    => X"1000" or X"00E1",
775
    681    => X"1000" or X"00E2",
776
    682    => X"1000" or X"00E2",
777
    683    => X"1000" or X"00E2",
778
    684    => X"1000" or X"00E2",
779
    685    => X"1000" or X"00E2",
780
    686    => X"1000" or X"00E2",
781
    687    => X"1000" or X"00E2",
782
    688    => X"1000" or X"00E2",
783
    689    => X"1000" or X"00E2",
784
    690    => X"1000" or X"00E2",
785
    691    => X"1000" or X"00E2",
786
    692    => X"1000" or X"00E2",
787
    693    => X"1000" or X"00E1",
788
    694    => X"1000" or X"00E1",
789
    695    => X"1000" or X"00E1",
790
    696    => X"1000" or X"00E1",
791
    697    => X"1000" or X"00E1",
792
    698    => X"1000" or X"00E1",
793
    699    => X"1000" or X"00E1",
794
    700    => X"1000" or X"00E1",
795
    701    => X"1000" or X"00E1",
796
    702    => X"1000" or X"00E0",
797
    703    => X"1000" or X"00E0",
798
    704    => X"1000" or X"00E0",
799
    705    => X"1000" or X"00E0",
800
    706    => X"1000" or X"00E0",
801
    707    => X"1000" or X"00E0",
802
    708    => X"1000" or X"00DF",
803
    709    => X"1000" or X"00DF",
804
    710    => X"1000" or X"00DF",
805
    711    => X"1000" or X"00DF",
806
    712    => X"1000" or X"00DE",
807
    713    => X"1000" or X"00DE",
808
    714    => X"1000" or X"00DE",
809
    715    => X"1000" or X"00DE",
810
    716    => X"1000" or X"00DD",
811
    717    => X"1000" or X"00DD",
812
    718    => X"1000" or X"00DD",
813
    719    => X"1000" or X"00DD",
814
    720    => X"1000" or X"00DC",
815
    721    => X"1000" or X"00DC",
816
    722    => X"1000" or X"00DC",
817
    723    => X"1000" or X"00DB",
818
    724    => X"1000" or X"00DB",
819
    725    => X"1000" or X"00DB",
820
    726    => X"1000" or X"00DA",
821
    727    => X"1000" or X"00DA",
822
    728    => X"1000" or X"00DA",
823
    729    => X"1000" or X"00D9",
824
    730    => X"1000" or X"00D9",
825
    731    => X"1000" or X"00D9",
826
    732    => X"1000" or X"00D8",
827
    733    => X"1000" or X"00D8",
828
    734    => X"1000" or X"00D8",
829
    735    => X"1000" or X"00D7",
830
    736    => X"1000" or X"00D7",
831
    737    => X"1000" or X"00D6",
832
    738    => X"1000" or X"00D6",
833
    739    => X"1000" or X"00D6",
834
    740    => X"1000" or X"00D5",
835
    741    => X"1000" or X"00D5",
836
    742    => X"1000" or X"00D4",
837
    743    => X"1000" or X"00D4",
838
    744    => X"1000" or X"00D4",
839
    745    => X"1000" or X"00D3",
840
    746    => X"1000" or X"00D3",
841
    747    => X"1000" or X"00D2",
842
    748    => X"1000" or X"00D2",
843
    749    => X"1000" or X"00D2",
844
    750    => X"1000" or X"00D1",
845
    751    => X"1000" or X"00D1",
846
    752    => X"1000" or X"00D0",
847
    753    => X"1000" or X"00D0",
848
    754    => X"1000" or X"00CF",
849
    755    => X"1000" or X"00CF",
850
    756    => X"1000" or X"00CF",
851
    757    => X"1000" or X"00CE",
852
    758    => X"1000" or X"00CE",
853
    759    => X"1000" or X"00CD",
854
    760    => X"1000" or X"00CD",
855
    761    => X"1000" or X"00CC",
856
    762    => X"1000" or X"00CC",
857
    763    => X"1000" or X"00CC",
858
    764    => X"1000" or X"00CB",
859
    765    => X"1000" or X"00CB",
860
    766    => X"1000" or X"00CA",
861
    767    => X"1000" or X"00CA",
862
    768    => X"1000" or X"00C9",
863
    769    => X"1000" or X"00C9",
864
    770    => X"1000" or X"00C9",
865
    771    => X"1000" or X"00C8",
866
    772    => X"1000" or X"00C8",
867
    773    => X"1000" or X"00C7",
868
    774    => X"1000" or X"00C7",
869
    775    => X"1000" or X"00C6",
870
    776    => X"1000" or X"00C6",
871
    777    => X"1000" or X"00C6",
872
    778    => X"1000" or X"00C5",
873
    779    => X"1000" or X"00C5",
874
    780    => X"1000" or X"00C4",
875
    781    => X"1000" or X"00C4",
876
    782    => X"1000" or X"00C4",
877
    783    => X"1000" or X"00C3",
878
    784    => X"1000" or X"00C3",
879
    785    => X"1000" or X"00C2",
880
    786    => X"1000" or X"00C2",
881
    787    => X"1000" or X"00C2",
882
    788    => X"1000" or X"00C1",
883
    789    => X"1000" or X"00C1",
884
    790    => X"1000" or X"00C1",
885
    791    => X"1000" or X"00C0",
886
    792    => X"1000" or X"00C0",
887
    793    => X"1000" or X"00BF",
888
    794    => X"1000" or X"00BF",
889
    795    => X"1000" or X"00BF",
890
    796    => X"1000" or X"00BE",
891
    797    => X"1000" or X"00BE",
892
    798    => X"1000" or X"00BE",
893
    799    => X"1000" or X"00BD",
894
    800    => X"1000" or X"00BD",
895
    others => X"1000" or X"0064"
896
    );
897
 
898 2 sandroamt
begin
899
  -- wave form or video-line memory
900
  -- |------| |-------------------------------------------|
901
  -- | P  P | |  D  D  D |  D  D  D | D D D D D D D D D D |
902
  -- |======| |===========================================|
903
  -- |17 16 | | 15 14 13 | 12 11 10 | 9 8 7 6 5 4 3 2 1 0 |
904
  -- |======| |===========================================|
905
  -- | Free | |  Reserv. |  R  G  B |      vert. pos.     |
906
  -- |------| |-------------------------------------------|
907
  --
908
 
909
 
910 36 sandroamt
  p_rw0_port : process (i_clockA)
911
  begin
912
    if rising_edge(i_clockA) then
913
      if (true) then
914
        if (i_WEA = '1') then
915
          v_ram(conv_integer(i_ADDRA)) := i_DIA;
916
        end if;
917
        --o_DOA <= v_ram(conv_integer(i_ADDRA));
918
      end if;
919
    end if;
920
  end process;
921 2 sandroamt
 
922 36 sandroamt
  p_rw1_port : process (i_clockB)
923
  begin
924
    if rising_edge(i_clockB) then
925
      if (true) then
926
        o_DOB <= v_ram(conv_integer(i_ADDRB));
927
        if (i_WEB = '1') then
928
          v_ram(conv_integer(i_ADDRB)) := i_DIB;
929
        end if;
930
      end if;
931
    end if;
932
  end process;
933 2 sandroamt
 
934 36 sandroamt
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.