OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.6/] [DE1/] [ROM/] [CVS/] [Entries.Extra] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 rrred
/CHARROM.MIF////
2
/SoC_DE1.z8a////
3
/convrom.sh////
4
/drdos8x8.txt////
5
/hex2rom.sh////
6
/hex2rombin.sh////
7
/mif2coe.sh////
8
/psf2mif.sh////
9
/rom.hex////
10
/rom.vhd////
11
/z80asm.exe////

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.