OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.6/] [DE1/] [rtl/] [VHDL/] [VIDEO.vhd] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 rrred
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use IEEE.std_logic_unsigned.all;
4
-- use IEEE.std_logic_arith.all;
5
use IEEE.numeric_std.all;
6
--use IEEE.std_logic_signed.all;
7
--use IEEE.math_real.all;
8
--use IEEE.math_complex.all;
9
 
10
 
11
ENTITY video is
12
        PORT(   CLOCK_25                : IN STD_LOGIC;
13
                        VRAM_DATA               : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
14
                        VRAM_ADDR               : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
15
                        VRAM_CLOCK              : OUT STD_LOGIC;
16
                        VRAM_WREN               : OUT STD_LOGIC;
17
                        VGA_R,
18
                        VGA_G,
19
                        VGA_B                   : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
20
                        VGA_HS,
21
                        VGA_VS                  : OUT STD_LOGIC);
22
END video;
23
 
24
ARCHITECTURE A OF video IS
25
 
26
        -- Added for VDU support
27
        signal Clock_video              : std_logic;
28
        signal VGA_R_sig                : std_logic_vector(3 downto 0);
29
        signal VGA_G_sig                : std_logic_vector(3 downto 0);
30
        signal VGA_B_sig                : std_logic_vector(3 downto 0);
31
        signal pixel_row_sig    : std_logic_vector(9 downto 0);
32
        signal pixel_column_sig : std_logic_vector(9 downto 0);
33
        signal pixel_clock_sig  : std_logic;
34
        signal char_addr_sig    : std_logic_vector(7 downto 0);
35
        signal font_row_sig             : std_logic_vector(2 downto 0);
36
        signal font_col_sig             : std_logic_vector(2 downto 0);
37
        signal pixel_sig                : std_logic;
38
        signal video_on_sig             : std_logic;
39
 
40
BEGIN
41
 
42
        VGA_R_sig <= "0000";
43
        VGA_G_sig <= "0000";
44
        VGA_B_sig <= pixel_sig & pixel_sig & pixel_sig & pixel_sig;
45
 
46
        VRAM_WREN <= '1';
47
        VRAM_CLOCK <= pixel_clock_sig;
48
        VRAM_ADDR <= (pixel_row_sig(9 downto 4) * "0101000" + pixel_column_sig(9 downto 4));
49
 
50
        -- Fonts ROM read
51
        char_addr_sig <= VRAM_DATA;
52
        font_row_sig(2 downto 0) <= pixel_row_sig(3 downto 1);
53
        font_col_sig(2 downto 0) <= pixel_column_sig(3 downto 1);
54
 
55
        vga_sync_inst : work.vga_sync
56
                port map (
57
                        clock_25Mhz                     => CLOCK_25,
58
                        red                                     => VGA_R_sig,
59
                        green                           => VGA_G_sig,
60
                        blue                            => VGA_B_sig,
61
                        red_out                         => VGA_R,
62
                        green_out                       => VGA_G,
63
                        blue_out                        => VGA_B,
64
                        horiz_sync_out          => VGA_HS,
65
                        vert_sync_out           => VGA_VS,
66
                        video_on                        => video_on_sig,
67
                        pixel_clock                     => pixel_clock_sig,
68
                        pixel_row                       => pixel_row_sig,
69
                        pixel_column            => pixel_column_sig
70
        );
71
 
72
        char_rom_inst : work.char_rom
73
                port map (
74
                        clock                           => pixel_clock_sig,
75
                        character_address       => char_addr_sig,
76
                        font_row                        => font_row_sig,
77
                        font_col                        => font_col_sig,
78
                        rom_mux_output          => pixel_sig
79
        );
80
 
81
END A;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.