OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.6/] [S3E/] [lcdchar.vhd] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 rrred
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity lcdchar is
6
        port(
7
                clk             : in std_logic;
8
                addr            : in std_logic_vector(4 downto 0);
9
                dout            : out std_logic_vector(7 downto 0)
10
        );
11
end lcdchar;
12
 
13
architecture rtl of lcdchar is
14
begin
15
 
16
process (clk)
17
begin
18
 if clk'event and clk = '1' then
19
        case addr is
20
             when "00000" => dout <= x"52";
21
             when "00001" => dout <= x"4F";
22
             when "00010" => dout <= x"4E";
23
             when "00011" => dout <= x"49";
24
             when "00100" => dout <= x"56";
25
             when "00101" => dout <= x"4F";
26
             when "00110" => dout <= x"4E";
27
             when "00111" => dout <= x"20";
28
             when "01000" => dout <= x"20";
29
             when "01001" => dout <= x"20";
30
             when "01010" => dout <= x"20";
31
             when "01011" => dout <= x"43";
32
             when "01100" => dout <= x"4F";
33
             when "01101" => dout <= x"53";
34
             when "01110" => dout <= x"54";
35
             when "01111" => dout <= x"41";
36
             when "10000" => dout <= x"20";
37
             when "10001" => dout <= x"20";
38
             when "10010" => dout <= x"5A";
39
             when "10011" => dout <= x"38";
40
             when "10100" => dout <= x"30";
41
             when "10101" => dout <= x"20";
42
             when "10110" => dout <= x"53";
43
             when "10111" => dout <= x"4F";
44
             when "11000" => dout <= x"43";
45
             when "11001" => dout <= x"20";
46
             when "11010" => dout <= x"32";
47
             when "11011" => dout <= x"30";
48
             when "11100" => dout <= x"30";
49
             when "11101" => dout <= x"38";
50
             when "11110" => dout <= x"20";
51
             when "11111" => dout <= x"20";
52
             when others => dout <= x"00";
53
        end case;
54
 end if;
55
end process;
56
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.