OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.6/] [S3E/] [rom.vhd] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 rrred
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity rom is
6
        port(
7
                Clk : in std_logic;
8
                A   : in std_logic_vector(13 downto 0);
9
                D   : out std_logic_vector(7 downto 0)
10
        );
11
end rom;
12
 
13
architecture rtl of rom is
14
begin
15
 
16
process (Clk)
17
begin
18
 if Clk'event and Clk = '1' then
19
        case A is
20
             when "00000000000000" => D <= x"ED";
21
             when "00000000000001" => D <= x"7B";
22
             when "00000000000010" => D <= x"DE";
23
             when "00000000000011" => D <= x"7F";
24
             when "00000000000100" => D <= x"3A";
25
             when "00000000000101" => D <= x"DD";
26
             when "00000000000110" => D <= x"7F";
27
             when "00000000000111" => D <= x"B7";
28
             when "00000000001000" => D <= x"28";
29
             when "00000000001001" => D <= x"03";
30
             when "00000000001010" => D <= x"CD";
31
             when "00000000001011" => D <= x"93";
32
             when "00000000001100" => D <= x"01";
33
             when "00000000001101" => D <= x"CD";
34
             when "00000000001110" => D <= x"F5";
35
             when "00000000001111" => D <= x"00";
36
             when "00000000010000" => D <= x"11";
37
             when "00000000010001" => D <= x"34";
38
             when "00000000010010" => D <= x"40";
39
             when "00000000010011" => D <= x"21";
40
             when "00000000010100" => D <= x"09";
41
             when "00000000010101" => D <= x"02";
42
             when "00000000010110" => D <= x"CD";
43
             when "00000000010111" => D <= x"08";
44
             when "00000000011000" => D <= x"01";
45
             when "00000000011001" => D <= x"11";
46
             when "00000000011010" => D <= x"5C";
47
             when "00000000011011" => D <= x"40";
48
             when "00000000011100" => D <= x"21";
49
             when "00000000011101" => D <= x"1C";
50
             when "00000000011110" => D <= x"02";
51
             when "00000000011111" => D <= x"CD";
52
             when "00000000100000" => D <= x"08";
53
             when "00000000100001" => D <= x"01";
54
             when "00000000100010" => D <= x"CD";
55
             when "00000000100011" => D <= x"13";
56
             when "00000000100100" => D <= x"01";
57
             when "00000000100101" => D <= x"11";
58
             when "00000000100110" => D <= x"46";
59
             when "00000000100111" => D <= x"41";
60
             when "00000000101000" => D <= x"21";
61
             when "00000000101001" => D <= x"2F";
62
             when "00000000101010" => D <= x"02";
63
             when "00000000101011" => D <= x"CD";
64
             when "00000000101100" => D <= x"08";
65
             when "00000000101101" => D <= x"01";
66
             when "00000000101110" => D <= x"11";
67
             when "00000000101111" => D <= x"96";
68
             when "00000000110000" => D <= x"41";
69
             when "00000000110001" => D <= x"21";
70
             when "00000000110010" => D <= x"4B";
71
             when "00000000110011" => D <= x"02";
72
             when "00000000110100" => D <= x"CD";
73
             when "00000000110101" => D <= x"08";
74
             when "00000000110110" => D <= x"01";
75
             when "00000000110111" => D <= x"11";
76
             when "00000000111000" => D <= x"E6";
77
             when "00000000111001" => D <= x"41";
78
             when "00000000111010" => D <= x"21";
79
             when "00000000111011" => D <= x"68";
80
             when "00000000111100" => D <= x"02";
81
             when "00000000111101" => D <= x"CD";
82
             when "00000000111110" => D <= x"08";
83
             when "00000000111111" => D <= x"01";
84
             when "00000001000000" => D <= x"11";
85
             when "00000001000001" => D <= x"36";
86
             when "00000001000010" => D <= x"42";
87
             when "00000001000011" => D <= x"21";
88
             when "00000001000100" => D <= x"85";
89
             when "00000001000101" => D <= x"02";
90
             when "00000001000110" => D <= x"CD";
91
             when "00000001000111" => D <= x"08";
92
             when "00000001001000" => D <= x"01";
93
             when "00000001001001" => D <= x"11";
94
             when "00000001001010" => D <= x"5E";
95
             when "00000001001011" => D <= x"42";
96
             when "00000001001100" => D <= x"21";
97
             when "00000001001101" => D <= x"99";
98
             when "00000001001110" => D <= x"02";
99
             when "00000001001111" => D <= x"CD";
100
             when "00000001010000" => D <= x"08";
101
             when "00000001010001" => D <= x"01";
102
             when "00000001010010" => D <= x"21";
103
             when "00000001010011" => D <= x"3C";
104
             when "00000001010100" => D <= x"03";
105
             when "00000001010101" => D <= x"3A";
106
             when "00000001010110" => D <= x"DD";
107
             when "00000001010111" => D <= x"7F";
108
             when "00000001011000" => D <= x"B7";
109
             when "00000001011001" => D <= x"28";
110
             when "00000001011010" => D <= x"03";
111
             when "00000001011011" => D <= x"21";
112
             when "00000001011100" => D <= x"48";
113
             when "00000001011101" => D <= x"03";
114
             when "00000001011110" => D <= x"11";
115
             when "00000001011111" => D <= x"87";
116
             when "00000001100000" => D <= x"40";
117
             when "00000001100001" => D <= x"CD";
118
             when "00000001100010" => D <= x"08";
119
             when "00000001100011" => D <= x"01";
120
             when "00000001100100" => D <= x"11";
121
             when "00000001100101" => D <= x"D6";
122
             when "00000001100110" => D <= x"42";
123
             when "00000001100111" => D <= x"01";
124
             when "00000001101000" => D <= x"1E";
125
             when "00000001101001" => D <= x"00";
126
             when "00000001101010" => D <= x"C5";
127
             when "00000001101011" => D <= x"D5";
128
             when "00000001101100" => D <= x"CD";
129
             when "00000001101101" => D <= x"E0";
130
             when "00000001101110" => D <= x"00";
131
             when "00000001101111" => D <= x"CD";
132
             when "00000001110000" => D <= x"3D";
133
             when "00000001110001" => D <= x"01";
134
             when "00000001110010" => D <= x"3A";
135
             when "00000001110011" => D <= x"DD";
136
             when "00000001110100" => D <= x"7F";
137
             when "00000001110101" => D <= x"B7";
138
             when "00000001110110" => D <= x"28";
139
             when "00000001110111" => D <= x"03";
140
             when "00000001111000" => D <= x"CD";
141
             when "00000001111001" => D <= x"70";
142
             when "00000001111010" => D <= x"01";
143
             when "00000001111011" => D <= x"D1";
144
             when "00000001111100" => D <= x"C1";
145
             when "00000001111101" => D <= x"CD";
146
             when "00000001111110" => D <= x"10";
147
             when "00000001111111" => D <= x"01";
148
             when "00000010000000" => D <= x"B7";
149
             when "00000010000001" => D <= x"28";
150
             when "00000010000010" => D <= x"E7";
151
             when "00000010000011" => D <= x"FE";
152
             when "00000010000100" => D <= x"41";
153
             when "00000010000101" => D <= x"28";
154
             when "00000010000110" => D <= x"16";
155
             when "00000010000111" => D <= x"D3";
156
             when "00000010001000" => D <= x"01";
157
             when "00000010001001" => D <= x"6F";
158
             when "00000010001010" => D <= x"3A";
159
             when "00000010001011" => D <= x"DD";
160
             when "00000010001100" => D <= x"7F";
161
             when "00000010001101" => D <= x"B7";
162
             when "00000010001110" => D <= x"20";
163
             when "00000010001111" => D <= x"03";
164
             when "00000010010000" => D <= x"7D";
165
             when "00000010010001" => D <= x"D3";
166
             when "00000010010010" => D <= x"11";
167
             when "00000010010011" => D <= x"7D";
168
             when "00000010010100" => D <= x"12";
169
             when "00000010010101" => D <= x"13";
170
             when "00000010010110" => D <= x"0B";
171
             when "00000010010111" => D <= x"78";
172
             when "00000010011000" => D <= x"B1";
173
             when "00000010011001" => D <= x"28";
174
             when "00000010011010" => D <= x"C9";
175
             when "00000010011011" => D <= x"18";
176
             when "00000010011100" => D <= x"CD";
177
             when "00000010011101" => D <= x"21";
178
             when "00000010011110" => D <= x"00";
179
             when "00000010011111" => D <= x"80";
180
             when "00000010100000" => D <= x"3E";
181
             when "00000010100001" => D <= x"00";
182
             when "00000010100010" => D <= x"77";
183
             when "00000010100011" => D <= x"23";
184
             when "00000010100100" => D <= x"3C";
185
             when "00000010100101" => D <= x"20";
186
             when "00000010100110" => D <= x"FB";
187
             when "00000010100111" => D <= x"CD";
188
             when "00000010101000" => D <= x"CB";
189
             when "00000010101001" => D <= x"00";
190
             when "00000010101010" => D <= x"21";
191
             when "00000010101011" => D <= x"00";
192
             when "00000010101100" => D <= x"80";
193
             when "00000010101101" => D <= x"3A";
194
             when "00000010101110" => D <= x"DD";
195
             when "00000010101111" => D <= x"7F";
196
             when "00000010110000" => D <= x"B7";
197
             when "00000010110001" => D <= x"20";
198
             when "00000010110010" => D <= x"06";
199
             when "00000010110011" => D <= x"7C";
200
             when "00000010110100" => D <= x"D3";
201
             when "00000010110101" => D <= x"11";
202
             when "00000010110110" => D <= x"7D";
203
             when "00000010110111" => D <= x"D3";
204
             when "00000010111000" => D <= x"10";
205
             when "00000010111001" => D <= x"7E";
206
             when "00000010111010" => D <= x"D3";
207
             when "00000010111011" => D <= x"01";
208
             when "00000010111100" => D <= x"CD";
209
             when "00000010111101" => D <= x"E0";
210
             when "00000010111110" => D <= x"00";
211
             when "00000010111111" => D <= x"23";
212
             when "00000011000000" => D <= x"7E";
213
             when "00000011000001" => D <= x"FE";
214
             when "00000011000010" => D <= x"FF";
215
             when "00000011000011" => D <= x"20";
216
             when "00000011000100" => D <= x"F4";
217
             when "00000011000101" => D <= x"CD";
218
             when "00000011000110" => D <= x"CB";
219
             when "00000011000111" => D <= x"00";
220
             when "00000011001000" => D <= x"C3";
221
             when "00000011001001" => D <= x"04";
222
             when "00000011001010" => D <= x"00";
223
             when "00000011001011" => D <= x"CD";
224
             when "00000011001100" => D <= x"E0";
225
             when "00000011001101" => D <= x"00";
226
             when "00000011001110" => D <= x"DB";
227
             when "00000011001111" => D <= x"30";
228
             when "00000011010000" => D <= x"FE";
229
             when "00000011010001" => D <= x"01";
230
             when "00000011010010" => D <= x"20";
231
             when "00000011010011" => D <= x"F7";
232
             when "00000011010100" => D <= x"C9";
233
             when "00000011010101" => D <= x"DB";
234
             when "00000011010110" => D <= x"20";
235
             when "00000011010111" => D <= x"B7";
236
             when "00000011011000" => D <= x"20";
237
             when "00000011011001" => D <= x"02";
238
             when "00000011011010" => D <= x"3E";
239
             when "00000011011011" => D <= x"01";
240
             when "00000011011100" => D <= x"3D";
241
             when "00000011011101" => D <= x"20";
242
             when "00000011011110" => D <= x"FD";
243
             when "00000011011111" => D <= x"C9";
244
             when "00000011100000" => D <= x"DB";
245
             when "00000011100001" => D <= x"20";
246
             when "00000011100010" => D <= x"B7";
247
             when "00000011100011" => D <= x"20";
248
             when "00000011100100" => D <= x"02";
249
             when "00000011100101" => D <= x"3E";
250
             when "00000011100110" => D <= x"01";
251
             when "00000011100111" => D <= x"F5";
252
             when "00000011101000" => D <= x"01";
253
             when "00000011101001" => D <= x"88";
254
             when "00000011101010" => D <= x"13";
255
             when "00000011101011" => D <= x"0B";
256
             when "00000011101100" => D <= x"78";
257
             when "00000011101101" => D <= x"B1";
258
             when "00000011101110" => D <= x"20";
259
             when "00000011101111" => D <= x"FB";
260
             when "00000011110000" => D <= x"F1";
261
             when "00000011110001" => D <= x"3D";
262
             when "00000011110010" => D <= x"20";
263
             when "00000011110011" => D <= x"F3";
264
             when "00000011110100" => D <= x"C9";
265
             when "00000011110101" => D <= x"3E";
266
             when "00000011110110" => D <= x"00";
267
             when "00000011110111" => D <= x"D3";
268
             when "00000011111000" => D <= x"91";
269
             when "00000011111001" => D <= x"D3";
270
             when "00000011111010" => D <= x"92";
271
             when "00000011111011" => D <= x"01";
272
             when "00000011111100" => D <= x"B0";
273
             when "00000011111101" => D <= x"04";
274
             when "00000011111110" => D <= x"3E";
275
             when "00000011111111" => D <= x"2E";
276
             when "00000100000000" => D <= x"D3";
277
             when "00000100000001" => D <= x"90";
278
             when "00000100000010" => D <= x"0B";
279
             when "00000100000011" => D <= x"78";
280
             when "00000100000100" => D <= x"B1";
281
             when "00000100000101" => D <= x"20";
282
             when "00000100000110" => D <= x"F7";
283
             when "00000100000111" => D <= x"C9";
284
             when "00000100001000" => D <= x"7E";
285
             when "00000100001001" => D <= x"B7";
286
             when "00000100001010" => D <= x"C8";
287
             when "00000100001011" => D <= x"12";
288
             when "00000100001100" => D <= x"23";
289
             when "00000100001101" => D <= x"13";
290
             when "00000100001110" => D <= x"18";
291
             when "00000100001111" => D <= x"F8";
292
             when "00000100010000" => D <= x"DB";
293
             when "00000100010001" => D <= x"80";
294
             when "00000100010010" => D <= x"C9";
295
             when "00000100010011" => D <= x"21";
296
             when "00000100010100" => D <= x"B8";
297
             when "00000100010101" => D <= x"02";
298
             when "00000100010110" => D <= x"11";
299
             when "00000100010111" => D <= x"F5";
300
             when "00000100011000" => D <= x"40";
301
             when "00000100011001" => D <= x"CD";
302
             when "00000100011010" => D <= x"08";
303
             when "00000100011011" => D <= x"01";
304
             when "00000100011100" => D <= x"11";
305
             when "00000100011101" => D <= x"1D";
306
             when "00000100011110" => D <= x"41";
307
             when "00000100011111" => D <= x"3E";
308
             when "00000100100000" => D <= x"0A";
309
             when "00000100100001" => D <= x"21";
310
             when "00000100100010" => D <= x"FA";
311
             when "00000100100011" => D <= x"02";
312
             when "00000100100100" => D <= x"E5";
313
             when "00000100100101" => D <= x"F5";
314
             when "00000100100110" => D <= x"D5";
315
             when "00000100100111" => D <= x"CD";
316
             when "00000100101000" => D <= x"08";
317
             when "00000100101001" => D <= x"01";
318
             when "00000100101010" => D <= x"D1";
319
             when "00000100101011" => D <= x"F1";
320
             when "00000100101100" => D <= x"21";
321
             when "00000100101101" => D <= x"28";
322
             when "00000100101110" => D <= x"00";
323
             when "00000100101111" => D <= x"19";
324
             when "00000100110000" => D <= x"54";
325
             when "00000100110001" => D <= x"5D";
326
             when "00000100110010" => D <= x"E1";
327
             when "00000100110011" => D <= x"3D";
328
             when "00000100110100" => D <= x"20";
329
             when "00000100110101" => D <= x"EB";
330
             when "00000100110110" => D <= x"21";
331
             when "00000100110111" => D <= x"D9";
332
             when "00000100111000" => D <= x"02";
333
             when "00000100111001" => D <= x"CD";
334
             when "00000100111010" => D <= x"08";
335
             when "00000100111011" => D <= x"01";
336
             when "00000100111100" => D <= x"C9";
337
             when "00000100111101" => D <= x"21";
338
             when "00000100111110" => D <= x"1E";
339
             when "00000100111111" => D <= x"41";
340
             when "00000101000000" => D <= x"11";
341
             when "00000101000001" => D <= x"00";
342
             when "00000101000010" => D <= x"B0";
343
             when "00000101000011" => D <= x"01";
344
             when "00000101000100" => D <= x"1E";
345
             when "00000101000101" => D <= x"00";
346
             when "00000101000110" => D <= x"ED";
347
             when "00000101000111" => D <= x"B0";
348
             when "00000101001000" => D <= x"21";
349
             when "00000101001001" => D <= x"46";
350
             when "00000101001010" => D <= x"41";
351
             when "00000101001011" => D <= x"11";
352
             when "00000101001100" => D <= x"1E";
353
             when "00000101001101" => D <= x"41";
354
             when "00000101001110" => D <= x"06";
355
             when "00000101001111" => D <= x"09";
356
             when "00000101010000" => D <= x"C5";
357
             when "00000101010001" => D <= x"E5";
358
             when "00000101010010" => D <= x"D5";
359
             when "00000101010011" => D <= x"01";
360
             when "00000101010100" => D <= x"1E";
361
             when "00000101010101" => D <= x"00";
362
             when "00000101010110" => D <= x"ED";
363
             when "00000101010111" => D <= x"B0";
364
             when "00000101011000" => D <= x"D1";
365
             when "00000101011001" => D <= x"21";
366
             when "00000101011010" => D <= x"28";
367
             when "00000101011011" => D <= x"00";
368
             when "00000101011100" => D <= x"19";
369
             when "00000101011101" => D <= x"54";
370
             when "00000101011110" => D <= x"5D";
371
             when "00000101011111" => D <= x"E1";
372
             when "00000101100000" => D <= x"01";
373
             when "00000101100001" => D <= x"28";
374
             when "00000101100010" => D <= x"00";
375
             when "00000101100011" => D <= x"09";
376
             when "00000101100100" => D <= x"C1";
377
             when "00000101100101" => D <= x"10";
378
             when "00000101100110" => D <= x"E9";
379
             when "00000101100111" => D <= x"21";
380
             when "00000101101000" => D <= x"00";
381
             when "00000101101001" => D <= x"B0";
382
             when "00000101101010" => D <= x"01";
383
             when "00000101101011" => D <= x"1E";
384
             when "00000101101100" => D <= x"00";
385
             when "00000101101101" => D <= x"ED";
386
             when "00000101101110" => D <= x"B0";
387
             when "00000101101111" => D <= x"C9";
388
             when "00000101110000" => D <= x"CD";
389
             when "00000101110001" => D <= x"AA";
390
             when "00000101110010" => D <= x"01";
391
             when "00000101110011" => D <= x"FE";
392
             when "00000101110100" => D <= x"01";
393
             when "00000101110101" => D <= x"20";
394
             when "00000101110110" => D <= x"07";
395
             when "00000101110111" => D <= x"CD";
396
             when "00000101111000" => D <= x"E5";
397
             when "00000101111001" => D <= x"01";
398
             when "00000101111010" => D <= x"3E";
399
             when "00000101111011" => D <= x"01";
400
             when "00000101111100" => D <= x"18";
401
             when "00000101111101" => D <= x"09";
402
             when "00000101111110" => D <= x"FE";
403
             when "00000101111111" => D <= x"02";
404
             when "00000110000000" => D <= x"20";
405
             when "00000110000001" => D <= x"10";
406
             when "00000110000010" => D <= x"CD";
407
             when "00000110000011" => D <= x"C1";
408
             when "00000110000100" => D <= x"01";
409
             when "00000110000101" => D <= x"3E";
410
             when "00000110000110" => D <= x"80";
411
             when "00000110000111" => D <= x"D3";
412
             when "00000110001000" => D <= x"01";
413
             when "00000110001001" => D <= x"11";
414
             when "00000110001010" => D <= x"E0";
415
             when "00000110001011" => D <= x"7F";
416
             when "00000110001100" => D <= x"21";
417
             when "00000110001101" => D <= x"00";
418
             when "00000110001110" => D <= x"91";
419
             when "00000110001111" => D <= x"CD";
420
             when "00000110010000" => D <= x"08";
421
             when "00000110010001" => D <= x"01";
422
             when "00000110010010" => D <= x"C9";
423
             when "00000110010011" => D <= x"11";
424
             when "00000110010100" => D <= x"00";
425
             when "00000110010101" => D <= x"91";
426
             when "00000110010110" => D <= x"21";
427
             when "00000110010111" => D <= x"1B";
428
             when "00000110011000" => D <= x"03";
429
             when "00000110011001" => D <= x"01";
430
             when "00000110011010" => D <= x"21";
431
             when "00000110011011" => D <= x"00";
432
             when "00000110011100" => D <= x"ED";
433
             when "00000110011101" => D <= x"B0";
434
             when "00000110011110" => D <= x"11";
435
             when "00000110011111" => D <= x"E0";
436
             when "00000110100000" => D <= x"7F";
437
             when "00000110100001" => D <= x"21";
438
             when "00000110100010" => D <= x"00";
439
             when "00000110100011" => D <= x"91";
440
             when "00000110100100" => D <= x"01";
441
             when "00000110100101" => D <= x"20";
442
             when "00000110100110" => D <= x"00";
443
             when "00000110100111" => D <= x"ED";
444
             when "00000110101000" => D <= x"B0";
445
             when "00000110101001" => D <= x"C9";
446
             when "00000110101010" => D <= x"DB";
447
             when "00000110101011" => D <= x"70";
448
             when "00000110101100" => D <= x"C9";
449
             when "00000110101101" => D <= x"3A";
450
             when "00000110101110" => D <= x"00";
451
             when "00000110101111" => D <= x"92";
452
             when "00000110110000" => D <= x"3D";
453
             when "00000110110001" => D <= x"32";
454
             when "00000110110010" => D <= x"00";
455
             when "00000110110011" => D <= x"92";
456
             when "00000110110100" => D <= x"C9";
457
             when "00000110110101" => D <= x"3A";
458
             when "00000110110110" => D <= x"01";
459
             when "00000110110111" => D <= x"92";
460
             when "00000110111000" => D <= x"3D";
461
             when "00000110111001" => D <= x"20";
462
             when "00000110111010" => D <= x"02";
463
             when "00000110111011" => D <= x"3E";
464
             when "00000110111100" => D <= x"10";
465
             when "00000110111101" => D <= x"32";
466
             when "00000110111110" => D <= x"01";
467
             when "00000110111111" => D <= x"92";
468
             when "00000111000000" => D <= x"C9";
469
             when "00000111000001" => D <= x"3A";
470
             when "00000111000010" => D <= x"00";
471
             when "00000111000011" => D <= x"91";
472
             when "00000111000100" => D <= x"32";
473
             when "00000111000101" => D <= x"02";
474
             when "00000111000110" => D <= x"92";
475
             when "00000111000111" => D <= x"3A";
476
             when "00000111001000" => D <= x"10";
477
             when "00000111001001" => D <= x"91";
478
             when "00000111001010" => D <= x"32";
479
             when "00000111001011" => D <= x"03";
480
             when "00000111001100" => D <= x"92";
481
             when "00000111001101" => D <= x"21";
482
             when "00000111001110" => D <= x"01";
483
             when "00000111001111" => D <= x"91";
484
             when "00000111010000" => D <= x"11";
485
             when "00000111010001" => D <= x"00";
486
             when "00000111010010" => D <= x"91";
487
             when "00000111010011" => D <= x"01";
488
             when "00000111010100" => D <= x"1F";
489
             when "00000111010101" => D <= x"00";
490
             when "00000111010110" => D <= x"ED";
491
             when "00000111010111" => D <= x"B0";
492
             when "00000111011000" => D <= x"3A";
493
             when "00000111011001" => D <= x"02";
494
             when "00000111011010" => D <= x"92";
495
             when "00000111011011" => D <= x"32";
496
             when "00000111011100" => D <= x"0F";
497
             when "00000111011101" => D <= x"91";
498
             when "00000111011110" => D <= x"3A";
499
             when "00000111011111" => D <= x"03";
500
             when "00000111100000" => D <= x"92";
501
             when "00000111100001" => D <= x"32";
502
             when "00000111100010" => D <= x"1F";
503
             when "00000111100011" => D <= x"91";
504
             when "00000111100100" => D <= x"C9";
505
             when "00000111100101" => D <= x"3A";
506
             when "00000111100110" => D <= x"0F";
507
             when "00000111100111" => D <= x"91";
508
             when "00000111101000" => D <= x"32";
509
             when "00000111101001" => D <= x"02";
510
             when "00000111101010" => D <= x"92";
511
             when "00000111101011" => D <= x"3A";
512
             when "00000111101100" => D <= x"1F";
513
             when "00000111101101" => D <= x"91";
514
             when "00000111101110" => D <= x"32";
515
             when "00000111101111" => D <= x"03";
516
             when "00000111110000" => D <= x"92";
517
             when "00000111110001" => D <= x"21";
518
             when "00000111110010" => D <= x"1E";
519
             when "00000111110011" => D <= x"91";
520
             when "00000111110100" => D <= x"11";
521
             when "00000111110101" => D <= x"1F";
522
             when "00000111110110" => D <= x"91";
523
             when "00000111110111" => D <= x"01";
524
             when "00000111111000" => D <= x"1F";
525
             when "00000111111001" => D <= x"00";
526
             when "00000111111010" => D <= x"ED";
527
             when "00000111111011" => D <= x"B8";
528
             when "00000111111100" => D <= x"3A";
529
             when "00000111111101" => D <= x"02";
530
             when "00000111111110" => D <= x"92";
531
             when "00000111111111" => D <= x"32";
532
             when "00001000000000" => D <= x"00";
533
             when "00001000000001" => D <= x"91";
534
             when "00001000000010" => D <= x"3A";
535
             when "00001000000011" => D <= x"03";
536
             when "00001000000100" => D <= x"92";
537
             when "00001000000101" => D <= x"32";
538
             when "00001000000110" => D <= x"10";
539
             when "00001000000111" => D <= x"91";
540
             when "00001000001000" => D <= x"C9";
541
             when "00001000001001" => D <= x"5A";
542
             when "00001000001010" => D <= x"38";
543
             when "00001000001011" => D <= x"30";
544
             when "00001000001100" => D <= x"20";
545
             when "00001000001101" => D <= x"53";
546
             when "00001000001110" => D <= x"59";
547
             when "00001000001111" => D <= x"53";
548
             when "00001000010000" => D <= x"54";
549
             when "00001000010001" => D <= x"45";
550
             when "00001000010010" => D <= x"4D";
551
             when "00001000010011" => D <= x"20";
552
             when "00001000010100" => D <= x"4F";
553
             when "00001000010101" => D <= x"4E";
554
             when "00001000010110" => D <= x"20";
555
             when "00001000010111" => D <= x"43";
556
             when "00001000011000" => D <= x"48";
557
             when "00001000011001" => D <= x"49";
558
             when "00001000011010" => D <= x"50";
559
             when "00001000011011" => D <= x"00";
560
             when "00001000011100" => D <= x"52";
561
             when "00001000011101" => D <= x"4F";
562
             when "00001000011110" => D <= x"4E";
563
             when "00001000011111" => D <= x"49";
564
             when "00001000100000" => D <= x"56";
565
             when "00001000100001" => D <= x"4F";
566
             when "00001000100010" => D <= x"4E";
567
             when "00001000100011" => D <= x"20";
568
             when "00001000100100" => D <= x"43";
569
             when "00001000100101" => D <= x"4F";
570
             when "00001000100110" => D <= x"53";
571
             when "00001000100111" => D <= x"54";
572
             when "00001000101000" => D <= x"41";
573
             when "00001000101001" => D <= x"20";
574
             when "00001000101010" => D <= x"32";
575
             when "00001000101011" => D <= x"30";
576
             when "00001000101100" => D <= x"30";
577
             when "00001000101101" => D <= x"38";
578
             when "00001000101110" => D <= x"00";
579
             when "00001000101111" => D <= x"20";
580
             when "00001000110000" => D <= x"20";
581
             when "00001000110001" => D <= x"7C";
582
             when "00001000110010" => D <= x"21";
583
             when "00001000110011" => D <= x"23";
584
             when "00001000110100" => D <= x"24";
585
             when "00001000110101" => D <= x"25";
586
             when "00001000110110" => D <= x"26";
587
             when "00001000110111" => D <= x"2F";
588
             when "00001000111000" => D <= x"28";
589
             when "00001000111001" => D <= x"29";
590
             when "00001000111010" => D <= x"3D";
591
             when "00001000111011" => D <= x"3F";
592
             when "00001000111100" => D <= x"2A";
593
             when "00001000111101" => D <= x"60";
594
             when "00001000111110" => D <= x"2B";
595
             when "00001000111111" => D <= x"B4";
596
             when "00001001000000" => D <= x"E7";
597
             when "00001001000001" => D <= x"7E";
598
             when "00001001000010" => D <= x"5E";
599
             when "00001001000011" => D <= x"2C";
600
             when "00001001000100" => D <= x"2E";
601
             when "00001001000101" => D <= x"3B";
602
             when "00001001000110" => D <= x"3A";
603
             when "00001001000111" => D <= x"5C";
604
             when "00001001001000" => D <= x"3C";
605
             when "00001001001001" => D <= x"3E";
606
             when "00001001001010" => D <= x"00";
607
             when "00001001001011" => D <= x"20";
608
             when "00001001001100" => D <= x"20";
609
             when "00001001001101" => D <= x"41";
610
             when "00001001001110" => D <= x"42";
611
             when "00001001001111" => D <= x"43";
612
             when "00001001010000" => D <= x"44";
613
             when "00001001010001" => D <= x"45";
614
             when "00001001010010" => D <= x"46";
615
             when "00001001010011" => D <= x"47";
616
             when "00001001010100" => D <= x"48";
617
             when "00001001010101" => D <= x"49";
618
             when "00001001010110" => D <= x"4A";
619
             when "00001001010111" => D <= x"4B";
620
             when "00001001011000" => D <= x"4C";
621
             when "00001001011001" => D <= x"4D";
622
             when "00001001011010" => D <= x"4E";
623
             when "00001001011011" => D <= x"4F";
624
             when "00001001011100" => D <= x"50";
625
             when "00001001011101" => D <= x"51";
626
             when "00001001011110" => D <= x"52";
627
             when "00001001011111" => D <= x"53";
628
             when "00001001100000" => D <= x"54";
629
             when "00001001100001" => D <= x"55";
630
             when "00001001100010" => D <= x"56";
631
             when "00001001100011" => D <= x"57";
632
             when "00001001100100" => D <= x"58";
633
             when "00001001100101" => D <= x"59";
634
             when "00001001100110" => D <= x"5A";
635
             when "00001001100111" => D <= x"00";
636
             when "00001001101000" => D <= x"20";
637
             when "00001001101001" => D <= x"20";
638
             when "00001001101010" => D <= x"61";
639
             when "00001001101011" => D <= x"62";
640
             when "00001001101100" => D <= x"63";
641
             when "00001001101101" => D <= x"64";
642
             when "00001001101110" => D <= x"65";
643
             when "00001001101111" => D <= x"66";
644
             when "00001001110000" => D <= x"67";
645
             when "00001001110001" => D <= x"68";
646
             when "00001001110010" => D <= x"69";
647
             when "00001001110011" => D <= x"6A";
648
             when "00001001110100" => D <= x"6B";
649
             when "00001001110101" => D <= x"6C";
650
             when "00001001110110" => D <= x"6D";
651
             when "00001001110111" => D <= x"6E";
652
             when "00001001111000" => D <= x"6F";
653
             when "00001001111001" => D <= x"70";
654
             when "00001001111010" => D <= x"71";
655
             when "00001001111011" => D <= x"72";
656
             when "00001001111100" => D <= x"73";
657
             when "00001001111101" => D <= x"74";
658
             when "00001001111110" => D <= x"75";
659
             when "00001001111111" => D <= x"76";
660
             when "00001010000000" => D <= x"77";
661
             when "00001010000001" => D <= x"78";
662
             when "00001010000010" => D <= x"79";
663
             when "00001010000011" => D <= x"7A";
664
             when "00001010000100" => D <= x"00";
665
             when "00001010000101" => D <= x"20";
666
             when "00001010000110" => D <= x"20";
667
             when "00001010000111" => D <= x"20";
668
             when "00001010001000" => D <= x"20";
669
             when "00001010001001" => D <= x"20";
670
             when "00001010001010" => D <= x"20";
671
             when "00001010001011" => D <= x"20";
672
             when "00001010001100" => D <= x"20";
673
             when "00001010001101" => D <= x"20";
674
             when "00001010001110" => D <= x"30";
675
             when "00001010001111" => D <= x"31";
676
             when "00001010010000" => D <= x"32";
677
             when "00001010010001" => D <= x"33";
678
             when "00001010010010" => D <= x"34";
679
             when "00001010010011" => D <= x"35";
680
             when "00001010010100" => D <= x"36";
681
             when "00001010010101" => D <= x"37";
682
             when "00001010010110" => D <= x"38";
683
             when "00001010010111" => D <= x"39";
684
             when "00001010011000" => D <= x"00";
685
             when "00001010011001" => D <= x"02";
686
             when "00001010011010" => D <= x"03";
687
             when "00001010011011" => D <= x"04";
688
             when "00001010011100" => D <= x"0B";
689
             when "00001010011101" => D <= x"0C";
690
             when "00001010011110" => D <= x"0D";
691
             when "00001010011111" => D <= x"0E";
692
             when "00001010100000" => D <= x"12";
693
             when "00001010100001" => D <= x"18";
694
             when "00001010100010" => D <= x"19";
695
             when "00001010100011" => D <= x"1A";
696
             when "00001010100100" => D <= x"1B";
697
             when "00001010100101" => D <= x"E8";
698
             when "00001010100110" => D <= x"E9";
699
             when "00001010100111" => D <= x"EB";
700
             when "00001010101000" => D <= x"BB";
701
             when "00001010101001" => D <= x"BC";
702
             when "00001010101010" => D <= x"8A";
703
             when "00001010101011" => D <= x"86";
704
             when "00001010101100" => D <= x"87";
705
             when "00001010101101" => D <= x"81";
706
             when "00001010101110" => D <= x"80";
707
             when "00001010101111" => D <= x"01";
708
             when "00001010110000" => D <= x"06";
709
             when "00001010110001" => D <= x"07";
710
             when "00001010110010" => D <= x"08";
711
             when "00001010110011" => D <= x"09";
712
             when "00001010110100" => D <= x"0A";
713
             when "00001010110101" => D <= x"1D";
714
             when "00001010110110" => D <= x"1F";
715
             when "00001010110111" => D <= x"00";
716
             when "00001010111000" => D <= x"C9";
717
             when "00001010111001" => D <= x"CD";
718
             when "00001010111010" => D <= x"CD";
719
             when "00001010111011" => D <= x"CD";
720
             when "00001010111100" => D <= x"CD";
721
             when "00001010111101" => D <= x"CD";
722
             when "00001010111110" => D <= x"CD";
723
             when "00001010111111" => D <= x"CD";
724
             when "00001011000000" => D <= x"CD";
725
             when "00001011000001" => D <= x"CD";
726
             when "00001011000010" => D <= x"CD";
727
             when "00001011000011" => D <= x"CD";
728
             when "00001011000100" => D <= x"CD";
729
             when "00001011000101" => D <= x"CD";
730
             when "00001011000110" => D <= x"CD";
731
             when "00001011000111" => D <= x"CD";
732
             when "00001011001000" => D <= x"CD";
733
             when "00001011001001" => D <= x"CD";
734
             when "00001011001010" => D <= x"CD";
735
             when "00001011001011" => D <= x"CD";
736
             when "00001011001100" => D <= x"CD";
737
             when "00001011001101" => D <= x"CD";
738
             when "00001011001110" => D <= x"CD";
739
             when "00001011001111" => D <= x"CD";
740
             when "00001011010000" => D <= x"CD";
741
             when "00001011010001" => D <= x"CD";
742
             when "00001011010010" => D <= x"CD";
743
             when "00001011010011" => D <= x"CD";
744
             when "00001011010100" => D <= x"CD";
745
             when "00001011010101" => D <= x"CD";
746
             when "00001011010110" => D <= x"CD";
747
             when "00001011010111" => D <= x"BB";
748
             when "00001011011000" => D <= x"00";
749
             when "00001011011001" => D <= x"C8";
750
             when "00001011011010" => D <= x"CD";
751
             when "00001011011011" => D <= x"CD";
752
             when "00001011011100" => D <= x"CD";
753
             when "00001011011101" => D <= x"CD";
754
             when "00001011011110" => D <= x"CD";
755
             when "00001011011111" => D <= x"CD";
756
             when "00001011100000" => D <= x"CD";
757
             when "00001011100001" => D <= x"CD";
758
             when "00001011100010" => D <= x"CD";
759
             when "00001011100011" => D <= x"CD";
760
             when "00001011100100" => D <= x"CD";
761
             when "00001011100101" => D <= x"CD";
762
             when "00001011100110" => D <= x"CD";
763
             when "00001011100111" => D <= x"CD";
764
             when "00001011101000" => D <= x"CD";
765
             when "00001011101001" => D <= x"CD";
766
             when "00001011101010" => D <= x"CD";
767
             when "00001011101011" => D <= x"CD";
768
             when "00001011101100" => D <= x"CD";
769
             when "00001011101101" => D <= x"CD";
770
             when "00001011101110" => D <= x"CD";
771
             when "00001011101111" => D <= x"CD";
772
             when "00001011110000" => D <= x"CD";
773
             when "00001011110001" => D <= x"CD";
774
             when "00001011110010" => D <= x"CD";
775
             when "00001011110011" => D <= x"CD";
776
             when "00001011110100" => D <= x"CD";
777
             when "00001011110101" => D <= x"CD";
778
             when "00001011110110" => D <= x"CD";
779
             when "00001011110111" => D <= x"CD";
780
             when "00001011111000" => D <= x"BC";
781
             when "00001011111001" => D <= x"00";
782
             when "00001011111010" => D <= x"BA";
783
             when "00001011111011" => D <= x"20";
784
             when "00001011111100" => D <= x"20";
785
             when "00001011111101" => D <= x"20";
786
             when "00001011111110" => D <= x"20";
787
             when "00001011111111" => D <= x"20";
788
             when "00001100000000" => D <= x"20";
789
             when "00001100000001" => D <= x"20";
790
             when "00001100000010" => D <= x"20";
791
             when "00001100000011" => D <= x"20";
792
             when "00001100000100" => D <= x"20";
793
             when "00001100000101" => D <= x"20";
794
             when "00001100000110" => D <= x"20";
795
             when "00001100000111" => D <= x"20";
796
             when "00001100001000" => D <= x"20";
797
             when "00001100001001" => D <= x"20";
798
             when "00001100001010" => D <= x"20";
799
             when "00001100001011" => D <= x"20";
800
             when "00001100001100" => D <= x"20";
801
             when "00001100001101" => D <= x"20";
802
             when "00001100001110" => D <= x"20";
803
             when "00001100001111" => D <= x"20";
804
             when "00001100010000" => D <= x"20";
805
             when "00001100010001" => D <= x"20";
806
             when "00001100010010" => D <= x"20";
807
             when "00001100010011" => D <= x"20";
808
             when "00001100010100" => D <= x"20";
809
             when "00001100010101" => D <= x"20";
810
             when "00001100010110" => D <= x"20";
811
             when "00001100010111" => D <= x"20";
812
             when "00001100011000" => D <= x"20";
813
             when "00001100011001" => D <= x"BA";
814
             when "00001100011010" => D <= x"00";
815
             when "00001100011011" => D <= x"20";
816
             when "00001100011100" => D <= x"20";
817
             when "00001100011101" => D <= x"20";
818
             when "00001100011110" => D <= x"5A";
819
             when "00001100011111" => D <= x"38";
820
             when "00001100100000" => D <= x"30";
821
             when "00001100100001" => D <= x"20";
822
             when "00001100100010" => D <= x"53";
823
             when "00001100100011" => D <= x"59";
824
             when "00001100100100" => D <= x"53";
825
             when "00001100100101" => D <= x"54";
826
             when "00001100100110" => D <= x"45";
827
             when "00001100100111" => D <= x"4D";
828
             when "00001100101000" => D <= x"20";
829
             when "00001100101001" => D <= x"20";
830
             when "00001100101010" => D <= x"20";
831
             when "00001100101011" => D <= x"20";
832
             when "00001100101100" => D <= x"52";
833
             when "00001100101101" => D <= x"4F";
834
             when "00001100101110" => D <= x"4E";
835
             when "00001100101111" => D <= x"49";
836
             when "00001100110000" => D <= x"56";
837
             when "00001100110001" => D <= x"4F";
838
             when "00001100110010" => D <= x"4E";
839
             when "00001100110011" => D <= x"20";
840
             when "00001100110100" => D <= x"20";
841
             when "00001100110101" => D <= x"43";
842
             when "00001100110110" => D <= x"4F";
843
             when "00001100110111" => D <= x"53";
844
             when "00001100111000" => D <= x"54";
845
             when "00001100111001" => D <= x"41";
846
             when "00001100111010" => D <= x"20";
847
             when "00001100111011" => D <= x"00";
848
             when "00001100111100" => D <= x"44";
849
             when "00001100111101" => D <= x"45";
850
             when "00001100111110" => D <= x"31";
851
             when "00001100111111" => D <= x"20";
852
             when "00001101000000" => D <= x"56";
853
             when "00001101000001" => D <= x"65";
854
             when "00001101000010" => D <= x"72";
855
             when "00001101000011" => D <= x"73";
856
             when "00001101000100" => D <= x"69";
857
             when "00001101000101" => D <= x"6F";
858
             when "00001101000110" => D <= x"6E";
859
             when "00001101000111" => D <= x"00";
860
             when "00001101001000" => D <= x"53";
861
             when "00001101001001" => D <= x"33";
862
             when "00001101001010" => D <= x"45";
863
             when "00001101001011" => D <= x"20";
864
             when "00001101001100" => D <= x"56";
865
             when "00001101001101" => D <= x"65";
866
             when "00001101001110" => D <= x"72";
867
             when "00001101001111" => D <= x"73";
868
             when "00001101010000" => D <= x"69";
869
             when "00001101010001" => D <= x"6F";
870
             when "00001101010010" => D <= x"6E";
871
             when "00001101010011" => D <= x"00";
872
             when others => D <= "ZZZZZZZZ";
873
        end case;
874
 end if;
875
end process;
876
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.