OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.6/] [S3E/] [sram16k.vhd] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 rrred
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file sram16k.vhd when simulating
30
-- the core, sram16k. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY sram16k IS
44
        port (
45
        addr: IN std_logic_VECTOR(13 downto 0);
46
        clk: IN std_logic;
47
        din: IN std_logic_VECTOR(7 downto 0);
48
        dout: OUT std_logic_VECTOR(7 downto 0);
49
        we: IN std_logic);
50
END sram16k;
51
 
52
ARCHITECTURE sram16k_a OF sram16k IS
53
-- synthesis translate_off
54
component wrapped_sram16k
55
        port (
56
        addr: IN std_logic_VECTOR(13 downto 0);
57
        clk: IN std_logic;
58
        din: IN std_logic_VECTOR(7 downto 0);
59
        dout: OUT std_logic_VECTOR(7 downto 0);
60
        we: IN std_logic);
61
end component;
62
 
63
-- Configuration specification 
64
        for all : wrapped_sram16k use entity XilinxCoreLib.blkmemsp_v6_2(behavioral)
65
                generic map(
66
                        c_sinit_value => "0",
67
                        c_has_en => 0,
68
                        c_reg_inputs => 0,
69
                        c_yclk_is_rising => 1,
70
                        c_ysinit_is_high => 1,
71
                        c_ywe_is_high => 0,
72
                        c_yprimitive_type => "16kx1",
73
                        c_ytop_addr => "1024",
74
                        c_yhierarchy => "hierarchy1",
75
                        c_has_limit_data_pitch => 0,
76
                        c_has_rdy => 0,
77
                        c_write_mode => 1,
78
                        c_width => 8,
79
                        c_yuse_single_primitive => 0,
80
                        c_has_nd => 0,
81
                        c_has_we => 1,
82
                        c_enable_rlocs => 0,
83
                        c_has_rfd => 0,
84
                        c_has_din => 1,
85
                        c_ybottom_addr => "0",
86
                        c_pipe_stages => 0,
87
                        c_yen_is_high => 1,
88
                        c_depth => 16384,
89
                        c_has_default_data => 1,
90
                        c_limit_data_pitch => 18,
91
                        c_has_sinit => 0,
92
                        c_yydisable_warnings => 1,
93
                        c_mem_init_file => "mif_file_16_1",
94
                        c_default_data => "0",
95
                        c_ymake_bmm => 0,
96
                        c_addr_width => 14);
97
-- synthesis translate_on
98
BEGIN
99
-- synthesis translate_off
100
U0 : wrapped_sram16k
101
                port map (
102
                        addr => addr,
103
                        clk => clk,
104
                        din => din,
105
                        dout => dout,
106
                        we => we);
107
-- synthesis translate_on
108
 
109
END sram16k_a;
110
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.