OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.6/] [S3E/] [vram8k.vhd] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 rrred
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file vram8k.vhd when simulating
30
-- the core, vram8k. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY vram8k IS
44
        port (
45
        addra: IN std_logic_VECTOR(12 downto 0);
46
        addrb: IN std_logic_VECTOR(12 downto 0);
47
        clka: IN std_logic;
48
        clkb: IN std_logic;
49
        dina: IN std_logic_VECTOR(7 downto 0);
50
        dinb: IN std_logic_VECTOR(7 downto 0);
51
        douta: OUT std_logic_VECTOR(7 downto 0);
52
        doutb: OUT std_logic_VECTOR(7 downto 0);
53
        wea: IN std_logic;
54
        web: IN std_logic);
55
END vram8k;
56
 
57
ARCHITECTURE vram8k_a OF vram8k IS
58
-- synthesis translate_off
59
component wrapped_vram8k
60
        port (
61
        addra: IN std_logic_VECTOR(12 downto 0);
62
        addrb: IN std_logic_VECTOR(12 downto 0);
63
        clka: IN std_logic;
64
        clkb: IN std_logic;
65
        dina: IN std_logic_VECTOR(7 downto 0);
66
        dinb: IN std_logic_VECTOR(7 downto 0);
67
        douta: OUT std_logic_VECTOR(7 downto 0);
68
        doutb: OUT std_logic_VECTOR(7 downto 0);
69
        wea: IN std_logic;
70
        web: IN std_logic);
71
end component;
72
 
73
-- Configuration specification 
74
        for all : wrapped_vram8k use entity XilinxCoreLib.blkmemdp_v6_3(behavioral)
75
                generic map(
76
                        c_reg_inputsb => 0,
77
                        c_reg_inputsa => 0,
78
                        c_has_ndb => 0,
79
                        c_has_nda => 0,
80
                        c_ytop_addr => "1024",
81
                        c_has_rfdb => 0,
82
                        c_has_rfda => 0,
83
                        c_ywea_is_high => 0,
84
                        c_yena_is_high => 1,
85
                        c_yclka_is_rising => 1,
86
                        c_yhierarchy => "hierarchy1",
87
                        c_ysinita_is_high => 1,
88
                        c_ybottom_addr => "0",
89
                        c_width_b => 8,
90
                        c_width_a => 8,
91
                        c_sinita_value => "0",
92
                        c_sinitb_value => "0",
93
                        c_limit_data_pitch => 18,
94
                        c_write_modeb => 1,
95
                        c_write_modea => 1,
96
                        c_has_rdyb => 0,
97
                        c_yuse_single_primitive => 0,
98
                        c_has_rdya => 0,
99
                        c_addra_width => 13,
100
                        c_addrb_width => 13,
101
                        c_has_limit_data_pitch => 0,
102
                        c_default_data => "20",
103
                        c_pipe_stages_b => 0,
104
                        c_yweb_is_high => 0,
105
                        c_yenb_is_high => 1,
106
                        c_pipe_stages_a => 0,
107
                        c_yclkb_is_rising => 1,
108
                        c_yydisable_warnings => 1,
109
                        c_enable_rlocs => 0,
110
                        c_ysinitb_is_high => 1,
111
                        c_has_web => 1,
112
                        c_has_default_data => 1,
113
                        c_has_sinitb => 0,
114
                        c_has_wea => 1,
115
                        c_has_sinita => 0,
116
                        c_has_dinb => 1,
117
                        c_has_dina => 1,
118
                        c_ymake_bmm => 0,
119
                        c_sim_collision_check => "NONE",
120
                        c_has_enb => 0,
121
                        c_has_ena => 0,
122
                        c_depth_b => 8192,
123
                        c_mem_init_file => "mif_file_16_1",
124
                        c_depth_a => 8192,
125
                        c_has_doutb => 1,
126
                        c_has_douta => 1,
127
                        c_yprimitive_type => "16kx1");
128
-- synthesis translate_on
129
BEGIN
130
-- synthesis translate_off
131
U0 : wrapped_vram8k
132
                port map (
133
                        addra => addra,
134
                        addrb => addrb,
135
                        clka => clka,
136
                        clkb => clkb,
137
                        dina => dina,
138
                        dinb => dinb,
139
                        douta => douta,
140
                        doutb => doutb,
141
                        wea => wea,
142
                        web => web);
143
-- synthesis translate_on
144
 
145
END vram8k_a;
146
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.