OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7/] [S3E/] [memoryCores/] [charram2k.vhd] - Blame information for rev 34

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 rrred
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file charram2k.vhd when simulating
30
-- the core, charram2k. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY charram2k IS
44
        port (
45
        clka: IN std_logic;
46
        wea: IN std_logic_VECTOR(0 downto 0);
47
        addra: IN std_logic_VECTOR(10 downto 0);
48
        dina: IN std_logic_VECTOR(7 downto 0);
49
        douta: OUT std_logic_VECTOR(7 downto 0);
50
        clkb: IN std_logic;
51
        web: IN std_logic_VECTOR(0 downto 0);
52
        addrb: IN std_logic_VECTOR(10 downto 0);
53
        dinb: IN std_logic_VECTOR(7 downto 0);
54
        doutb: OUT std_logic_VECTOR(7 downto 0));
55
END charram2k;
56
 
57
ARCHITECTURE charram2k_a OF charram2k IS
58
-- synthesis translate_off
59
component wrapped_charram2k
60
        port (
61
        clka: IN std_logic;
62
        wea: IN std_logic_VECTOR(0 downto 0);
63
        addra: IN std_logic_VECTOR(10 downto 0);
64
        dina: IN std_logic_VECTOR(7 downto 0);
65
        douta: OUT std_logic_VECTOR(7 downto 0);
66
        clkb: IN std_logic;
67
        web: IN std_logic_VECTOR(0 downto 0);
68
        addrb: IN std_logic_VECTOR(10 downto 0);
69
        dinb: IN std_logic_VECTOR(7 downto 0);
70
        doutb: OUT std_logic_VECTOR(7 downto 0));
71
end component;
72
 
73
-- Configuration specification 
74
        for all : wrapped_charram2k use entity XilinxCoreLib.blk_mem_gen_v3_1(behavioral)
75
                generic map(
76
                        c_has_regceb => 0,
77
                        c_has_regcea => 0,
78
                        c_mem_type => 2,
79
                        c_rstram_b => 0,
80
                        c_rstram_a => 0,
81
                        c_has_injecterr => 0,
82
                        c_rst_type => "SYNC",
83
                        c_prim_type => 1,
84
                        c_read_width_b => 8,
85
                        c_initb_val => "0",
86
                        c_family => "spartan3",
87
                        c_read_width_a => 8,
88
                        c_disable_warn_bhv_coll => 0,
89
                        c_write_mode_b => "READ_FIRST",
90
                        c_init_file_name => "charram2k.mif",
91
                        c_write_mode_a => "WRITE_FIRST",
92
                        c_mux_pipeline_stages => 0,
93
                        c_has_mem_output_regs_b => 0,
94
                        c_has_mem_output_regs_a => 0,
95
                        c_load_init_file => 1,
96
                        c_xdevicefamily => "spartan3e",
97
                        c_write_depth_b => 2048,
98
                        c_write_depth_a => 2048,
99
                        c_has_rstb => 0,
100
                        c_has_rsta => 0,
101
                        c_has_mux_output_regs_b => 0,
102
                        c_inita_val => "0",
103
                        c_has_mux_output_regs_a => 0,
104
                        c_addra_width => 11,
105
                        c_addrb_width => 11,
106
                        c_default_data => "0",
107
                        c_use_ecc => 0,
108
                        c_algorithm => 1,
109
                        c_disable_warn_bhv_range => 0,
110
                        c_write_width_b => 8,
111
                        c_write_width_a => 8,
112
                        c_read_depth_b => 2048,
113
                        c_read_depth_a => 2048,
114
                        c_byte_size => 9,
115
                        c_sim_collision_check => "ALL",
116
                        c_common_clk => 0,
117
                        c_wea_width => 1,
118
                        c_has_enb => 0,
119
                        c_web_width => 1,
120
                        c_has_ena => 0,
121
                        c_use_byte_web => 0,
122
                        c_use_byte_wea => 0,
123
                        c_rst_priority_b => "CE",
124
                        c_rst_priority_a => "CE",
125
                        c_use_default_data => 0);
126
-- synthesis translate_on
127
BEGIN
128
-- synthesis translate_off
129
U0 : wrapped_charram2k
130
                port map (
131
                        clka => clka,
132
                        wea => wea,
133
                        addra => addra,
134
                        dina => dina,
135
                        douta => douta,
136
                        clkb => clkb,
137
                        web => web,
138
                        addrb => addrb,
139
                        dinb => dinb,
140
                        doutb => doutb);
141
-- synthesis translate_on
142
 
143
END charram2k_a;
144
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.