OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7/] [S3E/] [vhdl/] [z80soc_pack.vhd] - Blame information for rev 34

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 rrred
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
package z80soc_pack is
5
 
6
        constant vid_cols                       : integer := 40; -- video number of columns
7
        constant vid_lines              : integer := 30; -- video number of lines
8
        constant vram_base_addr         : std_logic_vector(15 downto 0) := x"4000";
9
        constant pixelsxchar            : integer := 1;
10
 
11
end  z80soc_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.