OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7/] [rom/] [charrom.vhd] - Blame information for rev 34

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 rrred
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity char is
6
        port (
7
        clka: IN std_logic;
8
        addra: IN std_logic_VECTOR(10 downto 0);
9
        douta: OUT std_logic_VECTOR(7 downto 0));
10
end char;
11
 
12
architecture a of char is
13
begin
14
 
15
process (clka)
16
begin
17
 if clka'event and clka = '1' then
18
        case addra is
19
             when "00000000000" => douta <= "01111110";
20
             when "00000000001" => douta <= "11000011";
21
             when "00000000010" => douta <= "10011001";
22
             when "00000000011" => douta <= "11110011";
23
             when "00000000100" => douta <= "11100111";
24
             when "00000000101" => douta <= "11111111";
25
             when "00000000110" => douta <= "11100111";
26
             when "00000000111" => douta <= "01111110";
27
             when "00000001000" => douta <= "00000000";
28
             when "00000001001" => douta <= "01110110";
29
             when "00000001010" => douta <= "11011100";
30
             when "00000001011" => douta <= "00000000";
31
             when "00000001100" => douta <= "01110110";
32
             when "00000001101" => douta <= "11011100";
33
             when "00000001110" => douta <= "00000000";
34
             when "00000001111" => douta <= "00000000";
35
             when "00000010000" => douta <= "01110110";
36
             when "00000010001" => douta <= "11011000";
37
             when "00000010010" => douta <= "11011000";
38
             when "00000010011" => douta <= "11011100";
39
             when "00000010100" => douta <= "11011000";
40
             when "00000010101" => douta <= "11011000";
41
             when "00000010110" => douta <= "01110110";
42
             when "00000010111" => douta <= "00000000";
43
             when "00000011000" => douta <= "00000000";
44
             when "00000011001" => douta <= "00000000";
45
             when "00000011010" => douta <= "01101110";
46
             when "00000011011" => douta <= "11011000";
47
             when "00000011100" => douta <= "11011110";
48
             when "00000011101" => douta <= "11011000";
49
             when "00000011110" => douta <= "01101110";
50
             when "00000011111" => douta <= "00000000";
51
             when "00000100000" => douta <= "00010000";
52
             when "00000100001" => douta <= "00111000";
53
             when "00000100010" => douta <= "01111100";
54
             when "00000100011" => douta <= "11111110";
55
             when "00000100100" => douta <= "01111100";
56
             when "00000100101" => douta <= "00111000";
57
             when "00000100110" => douta <= "00010000";
58
             when "00000100111" => douta <= "00000000";
59
             when "00000101000" => douta <= "10100000";
60
             when "00000101001" => douta <= "10100000";
61
             when "00000101010" => douta <= "11100000";
62
             when "00000101011" => douta <= "10101110";
63
             when "00000101100" => douta <= "10100100";
64
             when "00000101101" => douta <= "00000100";
65
             when "00000101110" => douta <= "00000100";
66
             when "00000101111" => douta <= "00000100";
67
             when "00000110000" => douta <= "11100000";
68
             when "00000110001" => douta <= "10000000";
69
             when "00000110010" => douta <= "11000000";
70
             when "00000110011" => douta <= "10001110";
71
             when "00000110100" => douta <= "10001000";
72
             when "00000110101" => douta <= "00001100";
73
             when "00000110110" => douta <= "00001000";
74
             when "00000110111" => douta <= "00001000";
75
             when "00000111000" => douta <= "01100000";
76
             when "00000111001" => douta <= "10000000";
77
             when "00000111010" => douta <= "10000000";
78
             when "00000111011" => douta <= "10001100";
79
             when "00000111100" => douta <= "01101010";
80
             when "00000111101" => douta <= "00001100";
81
             when "00000111110" => douta <= "00001010";
82
             when "00000111111" => douta <= "00001010";
83
             when "00001000000" => douta <= "10000000";
84
             when "00001000001" => douta <= "10000000";
85
             when "00001000010" => douta <= "10000000";
86
             when "00001000011" => douta <= "10001110";
87
             when "00001000100" => douta <= "11101000";
88
             when "00001000101" => douta <= "00001100";
89
             when "00001000110" => douta <= "00001000";
90
             when "00001000111" => douta <= "00001000";
91
             when "00001001000" => douta <= "00100010";
92
             when "00001001001" => douta <= "10001000";
93
             when "00001001010" => douta <= "00100010";
94
             when "00001001011" => douta <= "10001000";
95
             when "00001001100" => douta <= "00100010";
96
             when "00001001101" => douta <= "10001000";
97
             when "00001001110" => douta <= "00100010";
98
             when "00001001111" => douta <= "10001000";
99
             when "00001010000" => douta <= "01010101";
100
             when "00001010001" => douta <= "10101010";
101
             when "00001010010" => douta <= "01010101";
102
             when "00001010011" => douta <= "10101010";
103
             when "00001010100" => douta <= "01010101";
104
             when "00001010101" => douta <= "10101010";
105
             when "00001010110" => douta <= "01010101";
106
             when "00001010111" => douta <= "10101010";
107
             when "00001011000" => douta <= "11101110";
108
             when "00001011001" => douta <= "10111011";
109
             when "00001011010" => douta <= "11101110";
110
             when "00001011011" => douta <= "10111011";
111
             when "00001011100" => douta <= "11101110";
112
             when "00001011101" => douta <= "10111011";
113
             when "00001011110" => douta <= "11101110";
114
             when "00001011111" => douta <= "10111011";
115
             when "00001100000" => douta <= "11111111";
116
             when "00001100001" => douta <= "11111111";
117
             when "00001100010" => douta <= "11111111";
118
             when "00001100011" => douta <= "11111111";
119
             when "00001100100" => douta <= "11111111";
120
             when "00001100101" => douta <= "11111111";
121
             when "00001100110" => douta <= "11111111";
122
             when "00001100111" => douta <= "11111111";
123
             when "00001101000" => douta <= "00000000";
124
             when "00001101001" => douta <= "00000000";
125
             when "00001101010" => douta <= "00000000";
126
             when "00001101011" => douta <= "00000000";
127
             when "00001101100" => douta <= "11111111";
128
             when "00001101101" => douta <= "11111111";
129
             when "00001101110" => douta <= "11111111";
130
             when "00001101111" => douta <= "11111111";
131
             when "00001110000" => douta <= "11111111";
132
             when "00001110001" => douta <= "11111111";
133
             when "00001110010" => douta <= "11111111";
134
             when "00001110011" => douta <= "11111111";
135
             when "00001110100" => douta <= "00000000";
136
             when "00001110101" => douta <= "00000000";
137
             when "00001110110" => douta <= "00000000";
138
             when "00001110111" => douta <= "00000000";
139
             when "00001111000" => douta <= "11110000";
140
             when "00001111001" => douta <= "11110000";
141
             when "00001111010" => douta <= "11110000";
142
             when "00001111011" => douta <= "11110000";
143
             when "00001111100" => douta <= "11110000";
144
             when "00001111101" => douta <= "11110000";
145
             when "00001111110" => douta <= "11110000";
146
             when "00001111111" => douta <= "11110000";
147
             when "00010000000" => douta <= "00001111";
148
             when "00010000001" => douta <= "00001111";
149
             when "00010000010" => douta <= "00001111";
150
             when "00010000011" => douta <= "00001111";
151
             when "00010000100" => douta <= "00001111";
152
             when "00010000101" => douta <= "00001111";
153
             when "00010000110" => douta <= "00001111";
154
             when "00010000111" => douta <= "00001111";
155
             when "00010001000" => douta <= "10010000";
156
             when "00010001001" => douta <= "11010000";
157
             when "00010001010" => douta <= "11110000";
158
             when "00010001011" => douta <= "10110100";
159
             when "00010001100" => douta <= "10010100";
160
             when "00010001101" => douta <= "00000100";
161
             when "00010001110" => douta <= "00000100";
162
             when "00010001111" => douta <= "00000111";
163
             when "00010010000" => douta <= "10100000";
164
             when "00010010001" => douta <= "10100000";
165
             when "00010010010" => douta <= "10100000";
166
             when "00010010011" => douta <= "10101110";
167
             when "00010010100" => douta <= "01000100";
168
             when "00010010101" => douta <= "00000100";
169
             when "00010010110" => douta <= "00000100";
170
             when "00010010111" => douta <= "00000100";
171
             when "00010011000" => douta <= "00011000";
172
             when "00010011001" => douta <= "00110000";
173
             when "00010011010" => douta <= "01100000";
174
             when "00010011011" => douta <= "00110000";
175
             when "00010011100" => douta <= "00011000";
176
             when "00010011101" => douta <= "00000000";
177
             when "00010011110" => douta <= "11111100";
178
             when "00010011111" => douta <= "00000000";
179
             when "00010100000" => douta <= "01100000";
180
             when "00010100001" => douta <= "00110000";
181
             when "00010100010" => douta <= "00011000";
182
             when "00010100011" => douta <= "00110000";
183
             when "00010100100" => douta <= "01100000";
184
             when "00010100101" => douta <= "00000000";
185
             when "00010100110" => douta <= "11111100";
186
             when "00010100111" => douta <= "00000000";
187
             when "00010101000" => douta <= "00000000";
188
             when "00010101001" => douta <= "00001100";
189
             when "00010101010" => douta <= "11111110";
190
             when "00010101011" => douta <= "00011000";
191
             when "00010101100" => douta <= "00110000";
192
             when "00010101101" => douta <= "11111110";
193
             when "00010101110" => douta <= "01100000";
194
             when "00010101111" => douta <= "00000000";
195
             when "00010110000" => douta <= "00000010";
196
             when "00010110001" => douta <= "00001110";
197
             when "00010110010" => douta <= "00111110";
198
             when "00010110011" => douta <= "11111110";
199
             when "00010110100" => douta <= "00111110";
200
             when "00010110101" => douta <= "00001110";
201
             when "00010110110" => douta <= "00000010";
202
             when "00010110111" => douta <= "00000000";
203
             when "00010111000" => douta <= "10000000";
204
             when "00010111001" => douta <= "11100000";
205
             when "00010111010" => douta <= "11111000";
206
             when "00010111011" => douta <= "11111110";
207
             when "00010111100" => douta <= "11111000";
208
             when "00010111101" => douta <= "11100000";
209
             when "00010111110" => douta <= "10000000";
210
             when "00010111111" => douta <= "00000000";
211
             when "00011000000" => douta <= "00011000";
212
             when "00011000001" => douta <= "00111100";
213
             when "00011000010" => douta <= "01111110";
214
             when "00011000011" => douta <= "00011000";
215
             when "00011000100" => douta <= "00011000";
216
             when "00011000101" => douta <= "00011000";
217
             when "00011000110" => douta <= "00011000";
218
             when "00011000111" => douta <= "00000000";
219
             when "00011001000" => douta <= "00011000";
220
             when "00011001001" => douta <= "00011000";
221
             when "00011001010" => douta <= "00011000";
222
             when "00011001011" => douta <= "00011000";
223
             when "00011001100" => douta <= "01111110";
224
             when "00011001101" => douta <= "00111100";
225
             when "00011001110" => douta <= "00011000";
226
             when "00011001111" => douta <= "00000000";
227
             when "00011010000" => douta <= "00000000";
228
             when "00011010001" => douta <= "00011000";
229
             when "00011010010" => douta <= "00001100";
230
             when "00011010011" => douta <= "11111110";
231
             when "00011010100" => douta <= "00001100";
232
             when "00011010101" => douta <= "00011000";
233
             when "00011010110" => douta <= "00000000";
234
             when "00011010111" => douta <= "00000000";
235
             when "00011011000" => douta <= "00000000";
236
             when "00011011001" => douta <= "00110000";
237
             when "00011011010" => douta <= "01100000";
238
             when "00011011011" => douta <= "11111110";
239
             when "00011011100" => douta <= "01100000";
240
             when "00011011101" => douta <= "00110000";
241
             when "00011011110" => douta <= "00000000";
242
             when "00011011111" => douta <= "00000000";
243
             when "00011100000" => douta <= "00011000";
244
             when "00011100001" => douta <= "00111100";
245
             when "00011100010" => douta <= "01111110";
246
             when "00011100011" => douta <= "00011000";
247
             when "00011100100" => douta <= "00011000";
248
             when "00011100101" => douta <= "01111110";
249
             when "00011100110" => douta <= "00111100";
250
             when "00011100111" => douta <= "00011000";
251
             when "00011101000" => douta <= "00000000";
252
             when "00011101001" => douta <= "00100100";
253
             when "00011101010" => douta <= "01100110";
254
             when "00011101011" => douta <= "11111111";
255
             when "00011101100" => douta <= "01100110";
256
             when "00011101101" => douta <= "00100100";
257
             when "00011101110" => douta <= "00000000";
258
             when "00011101111" => douta <= "00000000";
259
             when "00011110000" => douta <= "00000110";
260
             when "00011110001" => douta <= "00000110";
261
             when "00011110010" => douta <= "00110110";
262
             when "00011110011" => douta <= "01100110";
263
             when "00011110100" => douta <= "11111110";
264
             when "00011110101" => douta <= "01100000";
265
             when "00011110110" => douta <= "00110000";
266
             when "00011110111" => douta <= "00000000";
267
             when "00011111000" => douta <= "00000000";
268
             when "00011111001" => douta <= "11000000";
269
             when "00011111010" => douta <= "01111100";
270
             when "00011111011" => douta <= "01101110";
271
             when "00011111100" => douta <= "01101100";
272
             when "00011111101" => douta <= "01101100";
273
             when "00011111110" => douta <= "01101100";
274
             when "00011111111" => douta <= "00000000";
275
             when "00100000000" => douta <= "00000000";
276
             when "00100000001" => douta <= "00000000";
277
             when "00100000010" => douta <= "00000000";
278
             when "00100000011" => douta <= "00000000";
279
             when "00100000100" => douta <= "00000000";
280
             when "00100000101" => douta <= "00000000";
281
             when "00100000110" => douta <= "00000000";
282
             when "00100000111" => douta <= "00000000";
283
             when "00100001000" => douta <= "00110000";
284
             when "00100001001" => douta <= "01111000";
285
             when "00100001010" => douta <= "01111000";
286
             when "00100001011" => douta <= "00110000";
287
             when "00100001100" => douta <= "00110000";
288
             when "00100001101" => douta <= "00000000";
289
             when "00100001110" => douta <= "00110000";
290
             when "00100001111" => douta <= "00000000";
291
             when "00100010000" => douta <= "01101100";
292
             when "00100010001" => douta <= "01101100";
293
             when "00100010010" => douta <= "00000000";
294
             when "00100010011" => douta <= "00000000";
295
             when "00100010100" => douta <= "00000000";
296
             when "00100010101" => douta <= "00000000";
297
             when "00100010110" => douta <= "00000000";
298
             when "00100010111" => douta <= "00000000";
299
             when "00100011000" => douta <= "01101100";
300
             when "00100011001" => douta <= "01101100";
301
             when "00100011010" => douta <= "11111110";
302
             when "00100011011" => douta <= "01101100";
303
             when "00100011100" => douta <= "11111110";
304
             when "00100011101" => douta <= "01101100";
305
             when "00100011110" => douta <= "01101100";
306
             when "00100011111" => douta <= "00000000";
307
             when "00100100000" => douta <= "00110000";
308
             when "00100100001" => douta <= "01111100";
309
             when "00100100010" => douta <= "11000000";
310
             when "00100100011" => douta <= "01111000";
311
             when "00100100100" => douta <= "00001100";
312
             when "00100100101" => douta <= "11111000";
313
             when "00100100110" => douta <= "00110000";
314
             when "00100100111" => douta <= "00000000";
315
             when "00100101000" => douta <= "00000000";
316
             when "00100101001" => douta <= "11000110";
317
             when "00100101010" => douta <= "11001100";
318
             when "00100101011" => douta <= "00011000";
319
             when "00100101100" => douta <= "00110000";
320
             when "00100101101" => douta <= "01100110";
321
             when "00100101110" => douta <= "11000110";
322
             when "00100101111" => douta <= "00000000";
323
             when "00100110000" => douta <= "00111000";
324
             when "00100110001" => douta <= "01101100";
325
             when "00100110010" => douta <= "00111000";
326
             when "00100110011" => douta <= "01110110";
327
             when "00100110100" => douta <= "11011100";
328
             when "00100110101" => douta <= "11001100";
329
             when "00100110110" => douta <= "01110110";
330
             when "00100110111" => douta <= "00000000";
331
             when "00100111000" => douta <= "00110000";
332
             when "00100111001" => douta <= "00110000";
333
             when "00100111010" => douta <= "01100000";
334
             when "00100111011" => douta <= "00000000";
335
             when "00100111100" => douta <= "00000000";
336
             when "00100111101" => douta <= "00000000";
337
             when "00100111110" => douta <= "00000000";
338
             when "00100111111" => douta <= "00000000";
339
             when "00101000000" => douta <= "00011000";
340
             when "00101000001" => douta <= "00110000";
341
             when "00101000010" => douta <= "01100000";
342
             when "00101000011" => douta <= "01100000";
343
             when "00101000100" => douta <= "01100000";
344
             when "00101000101" => douta <= "00110000";
345
             when "00101000110" => douta <= "00011000";
346
             when "00101000111" => douta <= "00000000";
347
             when "00101001000" => douta <= "01100000";
348
             when "00101001001" => douta <= "00110000";
349
             when "00101001010" => douta <= "00011000";
350
             when "00101001011" => douta <= "00011000";
351
             when "00101001100" => douta <= "00011000";
352
             when "00101001101" => douta <= "00110000";
353
             when "00101001110" => douta <= "01100000";
354
             when "00101001111" => douta <= "00000000";
355
             when "00101010000" => douta <= "00000000";
356
             when "00101010001" => douta <= "01100110";
357
             when "00101010010" => douta <= "00111100";
358
             when "00101010011" => douta <= "11111111";
359
             when "00101010100" => douta <= "00111100";
360
             when "00101010101" => douta <= "01100110";
361
             when "00101010110" => douta <= "00000000";
362
             when "00101010111" => douta <= "00000000";
363
             when "00101011000" => douta <= "00000000";
364
             when "00101011001" => douta <= "00110000";
365
             when "00101011010" => douta <= "00110000";
366
             when "00101011011" => douta <= "11111100";
367
             when "00101011100" => douta <= "00110000";
368
             when "00101011101" => douta <= "00110000";
369
             when "00101011110" => douta <= "00000000";
370
             when "00101011111" => douta <= "00000000";
371
             when "00101100000" => douta <= "00000000";
372
             when "00101100001" => douta <= "00000000";
373
             when "00101100010" => douta <= "00000000";
374
             when "00101100011" => douta <= "00000000";
375
             when "00101100100" => douta <= "00000000";
376
             when "00101100101" => douta <= "00110000";
377
             when "00101100110" => douta <= "00110000";
378
             when "00101100111" => douta <= "01100000";
379
             when "00101101000" => douta <= "00000000";
380
             when "00101101001" => douta <= "00000000";
381
             when "00101101010" => douta <= "00000000";
382
             when "00101101011" => douta <= "11111100";
383
             when "00101101100" => douta <= "00000000";
384
             when "00101101101" => douta <= "00000000";
385
             when "00101101110" => douta <= "00000000";
386
             when "00101101111" => douta <= "00000000";
387
             when "00101110000" => douta <= "00000000";
388
             when "00101110001" => douta <= "00000000";
389
             when "00101110010" => douta <= "00000000";
390
             when "00101110011" => douta <= "00000000";
391
             when "00101110100" => douta <= "00000000";
392
             when "00101110101" => douta <= "00110000";
393
             when "00101110110" => douta <= "00110000";
394
             when "00101110111" => douta <= "00000000";
395
             when "00101111000" => douta <= "00000000";
396
             when "00101111001" => douta <= "00000110";
397
             when "00101111010" => douta <= "00001100";
398
             when "00101111011" => douta <= "00011000";
399
             when "00101111100" => douta <= "00110000";
400
             when "00101111101" => douta <= "01100000";
401
             when "00101111110" => douta <= "11000000";
402
             when "00101111111" => douta <= "00000000";
403
             when "00110000000" => douta <= "01111100";
404
             when "00110000001" => douta <= "11000110";
405
             when "00110000010" => douta <= "11000110";
406
             when "00110000011" => douta <= "11010110";
407
             when "00110000100" => douta <= "11000110";
408
             when "00110000101" => douta <= "11000110";
409
             when "00110000110" => douta <= "01111100";
410
             when "00110000111" => douta <= "00000000";
411
             when "00110001000" => douta <= "00110000";
412
             when "00110001001" => douta <= "01110000";
413
             when "00110001010" => douta <= "00110000";
414
             when "00110001011" => douta <= "00110000";
415
             when "00110001100" => douta <= "00110000";
416
             when "00110001101" => douta <= "00110000";
417
             when "00110001110" => douta <= "11111100";
418
             when "00110001111" => douta <= "00000000";
419
             when "00110010000" => douta <= "01111000";
420
             when "00110010001" => douta <= "11001100";
421
             when "00110010010" => douta <= "00001100";
422
             when "00110010011" => douta <= "00111000";
423
             when "00110010100" => douta <= "01100000";
424
             when "00110010101" => douta <= "11001100";
425
             when "00110010110" => douta <= "11111100";
426
             when "00110010111" => douta <= "00000000";
427
             when "00110011000" => douta <= "01111000";
428
             when "00110011001" => douta <= "11001100";
429
             when "00110011010" => douta <= "00001100";
430
             when "00110011011" => douta <= "00111000";
431
             when "00110011100" => douta <= "00001100";
432
             when "00110011101" => douta <= "11001100";
433
             when "00110011110" => douta <= "01111000";
434
             when "00110011111" => douta <= "00000000";
435
             when "00110100000" => douta <= "00011100";
436
             when "00110100001" => douta <= "00111100";
437
             when "00110100010" => douta <= "01101100";
438
             when "00110100011" => douta <= "11001100";
439
             when "00110100100" => douta <= "11111110";
440
             when "00110100101" => douta <= "00001100";
441
             when "00110100110" => douta <= "00011110";
442
             when "00110100111" => douta <= "00000000";
443
             when "00110101000" => douta <= "11111100";
444
             when "00110101001" => douta <= "11000000";
445
             when "00110101010" => douta <= "11111000";
446
             when "00110101011" => douta <= "00001100";
447
             when "00110101100" => douta <= "00001100";
448
             when "00110101101" => douta <= "11001100";
449
             when "00110101110" => douta <= "01111000";
450
             when "00110101111" => douta <= "00000000";
451
             when "00110110000" => douta <= "00111000";
452
             when "00110110001" => douta <= "01100000";
453
             when "00110110010" => douta <= "11000000";
454
             when "00110110011" => douta <= "11111000";
455
             when "00110110100" => douta <= "11001100";
456
             when "00110110101" => douta <= "11001100";
457
             when "00110110110" => douta <= "01111000";
458
             when "00110110111" => douta <= "00000000";
459
             when "00110111000" => douta <= "11111100";
460
             when "00110111001" => douta <= "11001100";
461
             when "00110111010" => douta <= "00001100";
462
             when "00110111011" => douta <= "00011000";
463
             when "00110111100" => douta <= "00110000";
464
             when "00110111101" => douta <= "00110000";
465
             when "00110111110" => douta <= "00110000";
466
             when "00110111111" => douta <= "00000000";
467
             when "00111000000" => douta <= "01111000";
468
             when "00111000001" => douta <= "11001100";
469
             when "00111000010" => douta <= "11001100";
470
             when "00111000011" => douta <= "01111000";
471
             when "00111000100" => douta <= "11001100";
472
             when "00111000101" => douta <= "11001100";
473
             when "00111000110" => douta <= "01111000";
474
             when "00111000111" => douta <= "00000000";
475
             when "00111001000" => douta <= "01111000";
476
             when "00111001001" => douta <= "11001100";
477
             when "00111001010" => douta <= "11001100";
478
             when "00111001011" => douta <= "01111100";
479
             when "00111001100" => douta <= "00001100";
480
             when "00111001101" => douta <= "00011000";
481
             when "00111001110" => douta <= "01110000";
482
             when "00111001111" => douta <= "00000000";
483
             when "00111010000" => douta <= "00000000";
484
             when "00111010001" => douta <= "00110000";
485
             when "00111010010" => douta <= "00110000";
486
             when "00111010011" => douta <= "00000000";
487
             when "00111010100" => douta <= "00000000";
488
             when "00111010101" => douta <= "00110000";
489
             when "00111010110" => douta <= "00110000";
490
             when "00111010111" => douta <= "00000000";
491
             when "00111011000" => douta <= "00000000";
492
             when "00111011001" => douta <= "00110000";
493
             when "00111011010" => douta <= "00110000";
494
             when "00111011011" => douta <= "00000000";
495
             when "00111011100" => douta <= "00000000";
496
             when "00111011101" => douta <= "00110000";
497
             when "00111011110" => douta <= "00110000";
498
             when "00111011111" => douta <= "01100000";
499
             when "00111100000" => douta <= "00011000";
500
             when "00111100001" => douta <= "00110000";
501
             when "00111100010" => douta <= "01100000";
502
             when "00111100011" => douta <= "11000000";
503
             when "00111100100" => douta <= "01100000";
504
             when "00111100101" => douta <= "00110000";
505
             when "00111100110" => douta <= "00011000";
506
             when "00111100111" => douta <= "00000000";
507
             when "00111101000" => douta <= "00000000";
508
             when "00111101001" => douta <= "00000000";
509
             when "00111101010" => douta <= "11111100";
510
             when "00111101011" => douta <= "00000000";
511
             when "00111101100" => douta <= "00000000";
512
             when "00111101101" => douta <= "11111100";
513
             when "00111101110" => douta <= "00000000";
514
             when "00111101111" => douta <= "00000000";
515
             when "00111110000" => douta <= "01100000";
516
             when "00111110001" => douta <= "00110000";
517
             when "00111110010" => douta <= "00011000";
518
             when "00111110011" => douta <= "00001100";
519
             when "00111110100" => douta <= "00011000";
520
             when "00111110101" => douta <= "00110000";
521
             when "00111110110" => douta <= "01100000";
522
             when "00111110111" => douta <= "00000000";
523
             when "00111111000" => douta <= "01111000";
524
             when "00111111001" => douta <= "11001100";
525
             when "00111111010" => douta <= "00001100";
526
             when "00111111011" => douta <= "00011000";
527
             when "00111111100" => douta <= "00110000";
528
             when "00111111101" => douta <= "00000000";
529
             when "00111111110" => douta <= "00110000";
530
             when "00111111111" => douta <= "00000000";
531
             when "01000000000" => douta <= "01111100";
532
             when "01000000001" => douta <= "11000110";
533
             when "01000000010" => douta <= "11011110";
534
             when "01000000011" => douta <= "11011110";
535
             when "01000000100" => douta <= "11011110";
536
             when "01000000101" => douta <= "11000000";
537
             when "01000000110" => douta <= "01111000";
538
             when "01000000111" => douta <= "00000000";
539
             when "01000001000" => douta <= "00110000";
540
             when "01000001001" => douta <= "01111000";
541
             when "01000001010" => douta <= "11001100";
542
             when "01000001011" => douta <= "11001100";
543
             when "01000001100" => douta <= "11111100";
544
             when "01000001101" => douta <= "11001100";
545
             when "01000001110" => douta <= "11001100";
546
             when "01000001111" => douta <= "00000000";
547
             when "01000010000" => douta <= "11111100";
548
             when "01000010001" => douta <= "01100110";
549
             when "01000010010" => douta <= "01100110";
550
             when "01000010011" => douta <= "01111100";
551
             when "01000010100" => douta <= "01100110";
552
             when "01000010101" => douta <= "01100110";
553
             when "01000010110" => douta <= "11111100";
554
             when "01000010111" => douta <= "00000000";
555
             when "01000011000" => douta <= "00111100";
556
             when "01000011001" => douta <= "01100110";
557
             when "01000011010" => douta <= "11000000";
558
             when "01000011011" => douta <= "11000000";
559
             when "01000011100" => douta <= "11000000";
560
             when "01000011101" => douta <= "01100110";
561
             when "01000011110" => douta <= "00111100";
562
             when "01000011111" => douta <= "00000000";
563
             when "01000100000" => douta <= "11111000";
564
             when "01000100001" => douta <= "01101100";
565
             when "01000100010" => douta <= "01100110";
566
             when "01000100011" => douta <= "01100110";
567
             when "01000100100" => douta <= "01100110";
568
             when "01000100101" => douta <= "01101100";
569
             when "01000100110" => douta <= "11111000";
570
             when "01000100111" => douta <= "00000000";
571
             when "01000101000" => douta <= "11111110";
572
             when "01000101001" => douta <= "01100010";
573
             when "01000101010" => douta <= "01101000";
574
             when "01000101011" => douta <= "01111000";
575
             when "01000101100" => douta <= "01101000";
576
             when "01000101101" => douta <= "01100010";
577
             when "01000101110" => douta <= "11111110";
578
             when "01000101111" => douta <= "00000000";
579
             when "01000110000" => douta <= "11111110";
580
             when "01000110001" => douta <= "01100010";
581
             when "01000110010" => douta <= "01101000";
582
             when "01000110011" => douta <= "01111000";
583
             when "01000110100" => douta <= "01101000";
584
             when "01000110101" => douta <= "01100000";
585
             when "01000110110" => douta <= "11110000";
586
             when "01000110111" => douta <= "00000000";
587
             when "01000111000" => douta <= "00111100";
588
             when "01000111001" => douta <= "01100110";
589
             when "01000111010" => douta <= "11000000";
590
             when "01000111011" => douta <= "11000000";
591
             when "01000111100" => douta <= "11001110";
592
             when "01000111101" => douta <= "01100110";
593
             when "01000111110" => douta <= "00111110";
594
             when "01000111111" => douta <= "00000000";
595
             when "01001000000" => douta <= "11001100";
596
             when "01001000001" => douta <= "11001100";
597
             when "01001000010" => douta <= "11001100";
598
             when "01001000011" => douta <= "11111100";
599
             when "01001000100" => douta <= "11001100";
600
             when "01001000101" => douta <= "11001100";
601
             when "01001000110" => douta <= "11001100";
602
             when "01001000111" => douta <= "00000000";
603
             when "01001001000" => douta <= "01111000";
604
             when "01001001001" => douta <= "00110000";
605
             when "01001001010" => douta <= "00110000";
606
             when "01001001011" => douta <= "00110000";
607
             when "01001001100" => douta <= "00110000";
608
             when "01001001101" => douta <= "00110000";
609
             when "01001001110" => douta <= "01111000";
610
             when "01001001111" => douta <= "00000000";
611
             when "01001010000" => douta <= "00011110";
612
             when "01001010001" => douta <= "00001100";
613
             when "01001010010" => douta <= "00001100";
614
             when "01001010011" => douta <= "00001100";
615
             when "01001010100" => douta <= "11001100";
616
             when "01001010101" => douta <= "11001100";
617
             when "01001010110" => douta <= "01111000";
618
             when "01001010111" => douta <= "00000000";
619
             when "01001011000" => douta <= "11100110";
620
             when "01001011001" => douta <= "01100110";
621
             when "01001011010" => douta <= "01101100";
622
             when "01001011011" => douta <= "01111000";
623
             when "01001011100" => douta <= "01101100";
624
             when "01001011101" => douta <= "01100110";
625
             when "01001011110" => douta <= "11100110";
626
             when "01001011111" => douta <= "00000000";
627
             when "01001100000" => douta <= "11110000";
628
             when "01001100001" => douta <= "01100000";
629
             when "01001100010" => douta <= "01100000";
630
             when "01001100011" => douta <= "01100000";
631
             when "01001100100" => douta <= "01100010";
632
             when "01001100101" => douta <= "01100110";
633
             when "01001100110" => douta <= "11111110";
634
             when "01001100111" => douta <= "00000000";
635
             when "01001101000" => douta <= "11000110";
636
             when "01001101001" => douta <= "11101110";
637
             when "01001101010" => douta <= "11111110";
638
             when "01001101011" => douta <= "11111110";
639
             when "01001101100" => douta <= "11010110";
640
             when "01001101101" => douta <= "11000110";
641
             when "01001101110" => douta <= "11000110";
642
             when "01001101111" => douta <= "00000000";
643
             when "01001110000" => douta <= "11000110";
644
             when "01001110001" => douta <= "11100110";
645
             when "01001110010" => douta <= "11110110";
646
             when "01001110011" => douta <= "11011110";
647
             when "01001110100" => douta <= "11001110";
648
             when "01001110101" => douta <= "11000110";
649
             when "01001110110" => douta <= "11000110";
650
             when "01001110111" => douta <= "00000000";
651
             when "01001111000" => douta <= "00111000";
652
             when "01001111001" => douta <= "01101100";
653
             when "01001111010" => douta <= "11000110";
654
             when "01001111011" => douta <= "11000110";
655
             when "01001111100" => douta <= "11000110";
656
             when "01001111101" => douta <= "01101100";
657
             when "01001111110" => douta <= "00111000";
658
             when "01001111111" => douta <= "00000000";
659
             when "01010000000" => douta <= "11111100";
660
             when "01010000001" => douta <= "01100110";
661
             when "01010000010" => douta <= "01100110";
662
             when "01010000011" => douta <= "01111100";
663
             when "01010000100" => douta <= "01100000";
664
             when "01010000101" => douta <= "01100000";
665
             when "01010000110" => douta <= "11110000";
666
             when "01010000111" => douta <= "00000000";
667
             when "01010001000" => douta <= "01111000";
668
             when "01010001001" => douta <= "11001100";
669
             when "01010001010" => douta <= "11001100";
670
             when "01010001011" => douta <= "11001100";
671
             when "01010001100" => douta <= "11011100";
672
             when "01010001101" => douta <= "01111000";
673
             when "01010001110" => douta <= "00011100";
674
             when "01010001111" => douta <= "00000000";
675
             when "01010010000" => douta <= "11111100";
676
             when "01010010001" => douta <= "01100110";
677
             when "01010010010" => douta <= "01100110";
678
             when "01010010011" => douta <= "01111100";
679
             when "01010010100" => douta <= "01101100";
680
             when "01010010101" => douta <= "01100110";
681
             when "01010010110" => douta <= "11100110";
682
             when "01010010111" => douta <= "00000000";
683
             when "01010011000" => douta <= "01111000";
684
             when "01010011001" => douta <= "11001100";
685
             when "01010011010" => douta <= "11100000";
686
             when "01010011011" => douta <= "01110000";
687
             when "01010011100" => douta <= "00011100";
688
             when "01010011101" => douta <= "11001100";
689
             when "01010011110" => douta <= "01111000";
690
             when "01010011111" => douta <= "00000000";
691
             when "01010100000" => douta <= "11111100";
692
             when "01010100001" => douta <= "10110100";
693
             when "01010100010" => douta <= "00110000";
694
             when "01010100011" => douta <= "00110000";
695
             when "01010100100" => douta <= "00110000";
696
             when "01010100101" => douta <= "00110000";
697
             when "01010100110" => douta <= "01111000";
698
             when "01010100111" => douta <= "00000000";
699
             when "01010101000" => douta <= "11001100";
700
             when "01010101001" => douta <= "11001100";
701
             when "01010101010" => douta <= "11001100";
702
             when "01010101011" => douta <= "11001100";
703
             when "01010101100" => douta <= "11001100";
704
             when "01010101101" => douta <= "11001100";
705
             when "01010101110" => douta <= "01111000";
706
             when "01010101111" => douta <= "00000000";
707
             when "01010110000" => douta <= "11001100";
708
             when "01010110001" => douta <= "11001100";
709
             when "01010110010" => douta <= "11001100";
710
             when "01010110011" => douta <= "11001100";
711
             when "01010110100" => douta <= "11001100";
712
             when "01010110101" => douta <= "01111000";
713
             when "01010110110" => douta <= "00110000";
714
             when "01010110111" => douta <= "00000000";
715
             when "01010111000" => douta <= "11000110";
716
             when "01010111001" => douta <= "11000110";
717
             when "01010111010" => douta <= "11000110";
718
             when "01010111011" => douta <= "11010110";
719
             when "01010111100" => douta <= "11111110";
720
             when "01010111101" => douta <= "11101110";
721
             when "01010111110" => douta <= "11000110";
722
             when "01010111111" => douta <= "00000000";
723
             when "01011000000" => douta <= "11000110";
724
             when "01011000001" => douta <= "11000110";
725
             when "01011000010" => douta <= "01101100";
726
             when "01011000011" => douta <= "00111000";
727
             when "01011000100" => douta <= "01101100";
728
             when "01011000101" => douta <= "11000110";
729
             when "01011000110" => douta <= "11000110";
730
             when "01011000111" => douta <= "00000000";
731
             when "01011001000" => douta <= "11001100";
732
             when "01011001001" => douta <= "11001100";
733
             when "01011001010" => douta <= "11001100";
734
             when "01011001011" => douta <= "01111000";
735
             when "01011001100" => douta <= "00110000";
736
             when "01011001101" => douta <= "00110000";
737
             when "01011001110" => douta <= "01111000";
738
             when "01011001111" => douta <= "00000000";
739
             when "01011010000" => douta <= "11111110";
740
             when "01011010001" => douta <= "11000110";
741
             when "01011010010" => douta <= "00001100";
742
             when "01011010011" => douta <= "00011000";
743
             when "01011010100" => douta <= "00110000";
744
             when "01011010101" => douta <= "01100110";
745
             when "01011010110" => douta <= "11111110";
746
             when "01011010111" => douta <= "00000000";
747
             when "01011011000" => douta <= "01111000";
748
             when "01011011001" => douta <= "01100000";
749
             when "01011011010" => douta <= "01100000";
750
             when "01011011011" => douta <= "01100000";
751
             when "01011011100" => douta <= "01100000";
752
             when "01011011101" => douta <= "01100000";
753
             when "01011011110" => douta <= "01111000";
754
             when "01011011111" => douta <= "00000000";
755
             when "01011100000" => douta <= "00000000";
756
             when "01011100001" => douta <= "11000000";
757
             when "01011100010" => douta <= "01100000";
758
             when "01011100011" => douta <= "00110000";
759
             when "01011100100" => douta <= "00011000";
760
             when "01011100101" => douta <= "00001100";
761
             when "01011100110" => douta <= "00000110";
762
             when "01011100111" => douta <= "00000000";
763
             when "01011101000" => douta <= "01111000";
764
             when "01011101001" => douta <= "00011000";
765
             when "01011101010" => douta <= "00011000";
766
             when "01011101011" => douta <= "00011000";
767
             when "01011101100" => douta <= "00011000";
768
             when "01011101101" => douta <= "00011000";
769
             when "01011101110" => douta <= "01111000";
770
             when "01011101111" => douta <= "00000000";
771
             when "01011110000" => douta <= "00011000";
772
             when "01011110001" => douta <= "00111100";
773
             when "01011110010" => douta <= "01100110";
774
             when "01011110011" => douta <= "00000000";
775
             when "01011110100" => douta <= "00000000";
776
             when "01011110101" => douta <= "00000000";
777
             when "01011110110" => douta <= "00000000";
778
             when "01011110111" => douta <= "00000000";
779
             when "01011111000" => douta <= "00000000";
780
             when "01011111001" => douta <= "00000000";
781
             when "01011111010" => douta <= "00000000";
782
             when "01011111011" => douta <= "00000000";
783
             when "01011111100" => douta <= "00000000";
784
             when "01011111101" => douta <= "00000000";
785
             when "01011111110" => douta <= "00000000";
786
             when "01011111111" => douta <= "11111111";
787
             when "01100000000" => douta <= "00110000";
788
             when "01100000001" => douta <= "00110000";
789
             when "01100000010" => douta <= "00011000";
790
             when "01100000011" => douta <= "00000000";
791
             when "01100000100" => douta <= "00000000";
792
             when "01100000101" => douta <= "00000000";
793
             when "01100000110" => douta <= "00000000";
794
             when "01100000111" => douta <= "00000000";
795
             when "01100001000" => douta <= "00000000";
796
             when "01100001001" => douta <= "00000000";
797
             when "01100001010" => douta <= "01111000";
798
             when "01100001011" => douta <= "00001100";
799
             when "01100001100" => douta <= "01111100";
800
             when "01100001101" => douta <= "11001100";
801
             when "01100001110" => douta <= "01110110";
802
             when "01100001111" => douta <= "00000000";
803
             when "01100010000" => douta <= "11100000";
804
             when "01100010001" => douta <= "01100000";
805
             when "01100010010" => douta <= "01100000";
806
             when "01100010011" => douta <= "01111100";
807
             when "01100010100" => douta <= "01100110";
808
             when "01100010101" => douta <= "01100110";
809
             when "01100010110" => douta <= "11011100";
810
             when "01100010111" => douta <= "00000000";
811
             when "01100011000" => douta <= "00000000";
812
             when "01100011001" => douta <= "00000000";
813
             when "01100011010" => douta <= "01111000";
814
             when "01100011011" => douta <= "11001100";
815
             when "01100011100" => douta <= "11000000";
816
             when "01100011101" => douta <= "11001100";
817
             when "01100011110" => douta <= "01111000";
818
             when "01100011111" => douta <= "00000000";
819
             when "01100100000" => douta <= "00011100";
820
             when "01100100001" => douta <= "00001100";
821
             when "01100100010" => douta <= "00001100";
822
             when "01100100011" => douta <= "01111100";
823
             when "01100100100" => douta <= "11001100";
824
             when "01100100101" => douta <= "11001100";
825
             when "01100100110" => douta <= "01110110";
826
             when "01100100111" => douta <= "00000000";
827
             when "01100101000" => douta <= "00000000";
828
             when "01100101001" => douta <= "00000000";
829
             when "01100101010" => douta <= "01111000";
830
             when "01100101011" => douta <= "11001100";
831
             when "01100101100" => douta <= "11111100";
832
             when "01100101101" => douta <= "11000000";
833
             when "01100101110" => douta <= "01111000";
834
             when "01100101111" => douta <= "00000000";
835
             when "01100110000" => douta <= "00111000";
836
             when "01100110001" => douta <= "01101100";
837
             when "01100110010" => douta <= "01100000";
838
             when "01100110011" => douta <= "11110000";
839
             when "01100110100" => douta <= "01100000";
840
             when "01100110101" => douta <= "01100000";
841
             when "01100110110" => douta <= "11110000";
842
             when "01100110111" => douta <= "00000000";
843
             when "01100111000" => douta <= "00000000";
844
             when "01100111001" => douta <= "00000000";
845
             when "01100111010" => douta <= "01110110";
846
             when "01100111011" => douta <= "11001100";
847
             when "01100111100" => douta <= "11001100";
848
             when "01100111101" => douta <= "01111100";
849
             when "01100111110" => douta <= "00001100";
850
             when "01100111111" => douta <= "11111000";
851
             when "01101000000" => douta <= "11100000";
852
             when "01101000001" => douta <= "01100000";
853
             when "01101000010" => douta <= "01101100";
854
             when "01101000011" => douta <= "01110110";
855
             when "01101000100" => douta <= "01100110";
856
             when "01101000101" => douta <= "01100110";
857
             when "01101000110" => douta <= "11100110";
858
             when "01101000111" => douta <= "00000000";
859
             when "01101001000" => douta <= "00110000";
860
             when "01101001001" => douta <= "00000000";
861
             when "01101001010" => douta <= "01110000";
862
             when "01101001011" => douta <= "00110000";
863
             when "01101001100" => douta <= "00110000";
864
             when "01101001101" => douta <= "00110000";
865
             when "01101001110" => douta <= "01111000";
866
             when "01101001111" => douta <= "00000000";
867
             when "01101010000" => douta <= "00001100";
868
             when "01101010001" => douta <= "00000000";
869
             when "01101010010" => douta <= "00001100";
870
             when "01101010011" => douta <= "00001100";
871
             when "01101010100" => douta <= "00001100";
872
             when "01101010101" => douta <= "11001100";
873
             when "01101010110" => douta <= "11001100";
874
             when "01101010111" => douta <= "01111000";
875
             when "01101011000" => douta <= "11100000";
876
             when "01101011001" => douta <= "01100000";
877
             when "01101011010" => douta <= "01100110";
878
             when "01101011011" => douta <= "01101100";
879
             when "01101011100" => douta <= "01111000";
880
             when "01101011101" => douta <= "01101100";
881
             when "01101011110" => douta <= "11100110";
882
             when "01101011111" => douta <= "00000000";
883
             when "01101100000" => douta <= "01110000";
884
             when "01101100001" => douta <= "00110000";
885
             when "01101100010" => douta <= "00110000";
886
             when "01101100011" => douta <= "00110000";
887
             when "01101100100" => douta <= "00110000";
888
             when "01101100101" => douta <= "00110000";
889
             when "01101100110" => douta <= "01111000";
890
             when "01101100111" => douta <= "00000000";
891
             when "01101101000" => douta <= "00000000";
892
             when "01101101001" => douta <= "00000000";
893
             when "01101101010" => douta <= "11001100";
894
             when "01101101011" => douta <= "11111110";
895
             when "01101101100" => douta <= "11111110";
896
             when "01101101101" => douta <= "11010110";
897
             when "01101101110" => douta <= "11000110";
898
             when "01101101111" => douta <= "00000000";
899
             when "01101110000" => douta <= "00000000";
900
             when "01101110001" => douta <= "00000000";
901
             when "01101110010" => douta <= "11111000";
902
             when "01101110011" => douta <= "11001100";
903
             when "01101110100" => douta <= "11001100";
904
             when "01101110101" => douta <= "11001100";
905
             when "01101110110" => douta <= "11001100";
906
             when "01101110111" => douta <= "00000000";
907
             when "01101111000" => douta <= "00000000";
908
             when "01101111001" => douta <= "00000000";
909
             when "01101111010" => douta <= "01111000";
910
             when "01101111011" => douta <= "11001100";
911
             when "01101111100" => douta <= "11001100";
912
             when "01101111101" => douta <= "11001100";
913
             when "01101111110" => douta <= "01111000";
914
             when "01101111111" => douta <= "00000000";
915
             when "01110000000" => douta <= "00000000";
916
             when "01110000001" => douta <= "00000000";
917
             when "01110000010" => douta <= "11011100";
918
             when "01110000011" => douta <= "01100110";
919
             when "01110000100" => douta <= "01100110";
920
             when "01110000101" => douta <= "01111100";
921
             when "01110000110" => douta <= "01100000";
922
             when "01110000111" => douta <= "11110000";
923
             when "01110001000" => douta <= "00000000";
924
             when "01110001001" => douta <= "00000000";
925
             when "01110001010" => douta <= "01110110";
926
             when "01110001011" => douta <= "11001100";
927
             when "01110001100" => douta <= "11001100";
928
             when "01110001101" => douta <= "01111100";
929
             when "01110001110" => douta <= "00001100";
930
             when "01110001111" => douta <= "00011110";
931
             when "01110010000" => douta <= "00000000";
932
             when "01110010001" => douta <= "00000000";
933
             when "01110010010" => douta <= "11011100";
934
             when "01110010011" => douta <= "01110110";
935
             when "01110010100" => douta <= "01100110";
936
             when "01110010101" => douta <= "01100000";
937
             when "01110010110" => douta <= "11110000";
938
             when "01110010111" => douta <= "00000000";
939
             when "01110011000" => douta <= "00000000";
940
             when "01110011001" => douta <= "00000000";
941
             when "01110011010" => douta <= "01111100";
942
             when "01110011011" => douta <= "11000000";
943
             when "01110011100" => douta <= "01111000";
944
             when "01110011101" => douta <= "00001100";
945
             when "01110011110" => douta <= "11111000";
946
             when "01110011111" => douta <= "00000000";
947
             when "01110100000" => douta <= "00010000";
948
             when "01110100001" => douta <= "00110000";
949
             when "01110100010" => douta <= "01111100";
950
             when "01110100011" => douta <= "00110000";
951
             when "01110100100" => douta <= "00110000";
952
             when "01110100101" => douta <= "00110100";
953
             when "01110100110" => douta <= "00011000";
954
             when "01110100111" => douta <= "00000000";
955
             when "01110101000" => douta <= "00000000";
956
             when "01110101001" => douta <= "00000000";
957
             when "01110101010" => douta <= "11001100";
958
             when "01110101011" => douta <= "11001100";
959
             when "01110101100" => douta <= "11001100";
960
             when "01110101101" => douta <= "11001100";
961
             when "01110101110" => douta <= "01110110";
962
             when "01110101111" => douta <= "00000000";
963
             when "01110110000" => douta <= "00000000";
964
             when "01110110001" => douta <= "00000000";
965
             when "01110110010" => douta <= "11001100";
966
             when "01110110011" => douta <= "11001100";
967
             when "01110110100" => douta <= "11001100";
968
             when "01110110101" => douta <= "01111000";
969
             when "01110110110" => douta <= "00110000";
970
             when "01110110111" => douta <= "00000000";
971
             when "01110111000" => douta <= "00000000";
972
             when "01110111001" => douta <= "00000000";
973
             when "01110111010" => douta <= "11000110";
974
             when "01110111011" => douta <= "11010110";
975
             when "01110111100" => douta <= "11111110";
976
             when "01110111101" => douta <= "11111110";
977
             when "01110111110" => douta <= "01101100";
978
             when "01110111111" => douta <= "00000000";
979
             when "01111000000" => douta <= "00000000";
980
             when "01111000001" => douta <= "00000000";
981
             when "01111000010" => douta <= "11000110";
982
             when "01111000011" => douta <= "01101100";
983
             when "01111000100" => douta <= "00111000";
984
             when "01111000101" => douta <= "01101100";
985
             when "01111000110" => douta <= "11000110";
986
             when "01111000111" => douta <= "00000000";
987
             when "01111001000" => douta <= "00000000";
988
             when "01111001001" => douta <= "00000000";
989
             when "01111001010" => douta <= "11001100";
990
             when "01111001011" => douta <= "11001100";
991
             when "01111001100" => douta <= "11001100";
992
             when "01111001101" => douta <= "01111100";
993
             when "01111001110" => douta <= "00001100";
994
             when "01111001111" => douta <= "11111000";
995
             when "01111010000" => douta <= "00000000";
996
             when "01111010001" => douta <= "00000000";
997
             when "01111010010" => douta <= "11111100";
998
             when "01111010011" => douta <= "10011000";
999
             when "01111010100" => douta <= "00110000";
1000
             when "01111010101" => douta <= "01100100";
1001
             when "01111010110" => douta <= "11111100";
1002
             when "01111010111" => douta <= "00000000";
1003
             when "01111011000" => douta <= "00011100";
1004
             when "01111011001" => douta <= "00110000";
1005
             when "01111011010" => douta <= "00110000";
1006
             when "01111011011" => douta <= "11100000";
1007
             when "01111011100" => douta <= "00110000";
1008
             when "01111011101" => douta <= "00110000";
1009
             when "01111011110" => douta <= "00011100";
1010
             when "01111011111" => douta <= "00000000";
1011
             when "01111100000" => douta <= "00110000";
1012
             when "01111100001" => douta <= "00110000";
1013
             when "01111100010" => douta <= "00110000";
1014
             when "01111100011" => douta <= "00110000";
1015
             when "01111100100" => douta <= "00110000";
1016
             when "01111100101" => douta <= "00110000";
1017
             when "01111100110" => douta <= "00110000";
1018
             when "01111100111" => douta <= "00000000";
1019
             when "01111101000" => douta <= "11100000";
1020
             when "01111101001" => douta <= "00110000";
1021
             when "01111101010" => douta <= "00110000";
1022
             when "01111101011" => douta <= "00011100";
1023
             when "01111101100" => douta <= "00110000";
1024
             when "01111101101" => douta <= "00110000";
1025
             when "01111101110" => douta <= "11100000";
1026
             when "01111101111" => douta <= "00000000";
1027
             when "01111110000" => douta <= "01110110";
1028
             when "01111110001" => douta <= "11011100";
1029
             when "01111110010" => douta <= "00000000";
1030
             when "01111110011" => douta <= "00000000";
1031
             when "01111110100" => douta <= "00000000";
1032
             when "01111110101" => douta <= "00000000";
1033
             when "01111110110" => douta <= "00000000";
1034
             when "01111110111" => douta <= "00000000";
1035
             when "01111111000" => douta <= "11001100";
1036
             when "01111111001" => douta <= "00000000";
1037
             when "01111111010" => douta <= "11001100";
1038
             when "01111111011" => douta <= "11001100";
1039
             when "01111111100" => douta <= "01111000";
1040
             when "01111111101" => douta <= "00110000";
1041
             when "01111111110" => douta <= "01111000";
1042
             when "01111111111" => douta <= "00000000";
1043
             when "10000000000" => douta <= "00000000";
1044
             when "10000000001" => douta <= "11111111";
1045
             when "10000000010" => douta <= "00000000";
1046
             when "10000000011" => douta <= "00000000";
1047
             when "10000000100" => douta <= "00000000";
1048
             when "10000000101" => douta <= "00000000";
1049
             when "10000000110" => douta <= "00000000";
1050
             when "10000000111" => douta <= "00000000";
1051
             when "10000001000" => douta <= "00011000";
1052
             when "10000001001" => douta <= "00011000";
1053
             when "10000001010" => douta <= "00011000";
1054
             when "10000001011" => douta <= "00011000";
1055
             when "10000001100" => douta <= "00000000";
1056
             when "10000001101" => douta <= "00000000";
1057
             when "10000001110" => douta <= "00000000";
1058
             when "10000001111" => douta <= "00000000";
1059
             when "10000010000" => douta <= "00000000";
1060
             when "10000010001" => douta <= "00000000";
1061
             when "10000010010" => douta <= "00000000";
1062
             when "10000010011" => douta <= "00011111";
1063
             when "10000010100" => douta <= "00000000";
1064
             when "10000010101" => douta <= "00000000";
1065
             when "10000010110" => douta <= "00000000";
1066
             when "10000010111" => douta <= "00000000";
1067
             when "10000011000" => douta <= "00011000";
1068
             when "10000011001" => douta <= "00011000";
1069
             when "10000011010" => douta <= "00011000";
1070
             when "10000011011" => douta <= "00011111";
1071
             when "10000011100" => douta <= "00000000";
1072
             when "10000011101" => douta <= "00000000";
1073
             when "10000011110" => douta <= "00000000";
1074
             when "10000011111" => douta <= "00000000";
1075
             when "10000100000" => douta <= "00000000";
1076
             when "10000100001" => douta <= "00000000";
1077
             when "10000100010" => douta <= "00000000";
1078
             when "10000100011" => douta <= "00000000";
1079
             when "10000100100" => douta <= "00011000";
1080
             when "10000100101" => douta <= "00011000";
1081
             when "10000100110" => douta <= "00011000";
1082
             when "10000100111" => douta <= "00011000";
1083
             when "10000101000" => douta <= "00011000";
1084
             when "10000101001" => douta <= "00011000";
1085
             when "10000101010" => douta <= "00011000";
1086
             when "10000101011" => douta <= "00011000";
1087
             when "10000101100" => douta <= "00011000";
1088
             when "10000101101" => douta <= "00011000";
1089
             when "10000101110" => douta <= "00011000";
1090
             when "10000101111" => douta <= "00011000";
1091
             when "10000110000" => douta <= "00000000";
1092
             when "10000110001" => douta <= "00000000";
1093
             when "10000110010" => douta <= "00000000";
1094
             when "10000110011" => douta <= "00011111";
1095
             when "10000110100" => douta <= "00011000";
1096
             when "10000110101" => douta <= "00011000";
1097
             when "10000110110" => douta <= "00011000";
1098
             when "10000110111" => douta <= "00011000";
1099
             when "10000111000" => douta <= "00011000";
1100
             when "10000111001" => douta <= "00011000";
1101
             when "10000111010" => douta <= "00011000";
1102
             when "10000111011" => douta <= "00011111";
1103
             when "10000111100" => douta <= "00011000";
1104
             when "10000111101" => douta <= "00011000";
1105
             when "10000111110" => douta <= "00011000";
1106
             when "10000111111" => douta <= "00011000";
1107
             when "10001000000" => douta <= "00000000";
1108
             when "10001000001" => douta <= "00000000";
1109
             when "10001000010" => douta <= "00000000";
1110
             when "10001000011" => douta <= "11111000";
1111
             when "10001000100" => douta <= "00000000";
1112
             when "10001000101" => douta <= "00000000";
1113
             when "10001000110" => douta <= "00000000";
1114
             when "10001000111" => douta <= "00000000";
1115
             when "10001001000" => douta <= "00011000";
1116
             when "10001001001" => douta <= "00011000";
1117
             when "10001001010" => douta <= "00011000";
1118
             when "10001001011" => douta <= "11111000";
1119
             when "10001001100" => douta <= "00000000";
1120
             when "10001001101" => douta <= "00000000";
1121
             when "10001001110" => douta <= "00000000";
1122
             when "10001001111" => douta <= "00000000";
1123
             when "10001010000" => douta <= "00000000";
1124
             when "10001010001" => douta <= "00000000";
1125
             when "10001010010" => douta <= "00000000";
1126
             when "10001010011" => douta <= "11111111";
1127
             when "10001010100" => douta <= "00000000";
1128
             when "10001010101" => douta <= "00000000";
1129
             when "10001010110" => douta <= "00000000";
1130
             when "10001010111" => douta <= "00000000";
1131
             when "10001011000" => douta <= "00011000";
1132
             when "10001011001" => douta <= "00011000";
1133
             when "10001011010" => douta <= "00011000";
1134
             when "10001011011" => douta <= "11111111";
1135
             when "10001011100" => douta <= "00000000";
1136
             when "10001011101" => douta <= "00000000";
1137
             when "10001011110" => douta <= "00000000";
1138
             when "10001011111" => douta <= "00000000";
1139
             when "10001100000" => douta <= "00000000";
1140
             when "10001100001" => douta <= "00000000";
1141
             when "10001100010" => douta <= "00000000";
1142
             when "10001100011" => douta <= "11111000";
1143
             when "10001100100" => douta <= "00011000";
1144
             when "10001100101" => douta <= "00011000";
1145
             when "10001100110" => douta <= "00011000";
1146
             when "10001100111" => douta <= "00011000";
1147
             when "10001101000" => douta <= "00011000";
1148
             when "10001101001" => douta <= "00011000";
1149
             when "10001101010" => douta <= "00011000";
1150
             when "10001101011" => douta <= "11111000";
1151
             when "10001101100" => douta <= "00011000";
1152
             when "10001101101" => douta <= "00011000";
1153
             when "10001101110" => douta <= "00011000";
1154
             when "10001101111" => douta <= "00011000";
1155
             when "10001110000" => douta <= "00000000";
1156
             when "10001110001" => douta <= "00000000";
1157
             when "10001110010" => douta <= "00000000";
1158
             when "10001110011" => douta <= "11111111";
1159
             when "10001110100" => douta <= "00011000";
1160
             when "10001110101" => douta <= "00011000";
1161
             when "10001110110" => douta <= "00011000";
1162
             when "10001110111" => douta <= "00011000";
1163
             when "10001111000" => douta <= "00011000";
1164
             when "10001111001" => douta <= "00011000";
1165
             when "10001111010" => douta <= "00011000";
1166
             when "10001111011" => douta <= "11111111";
1167
             when "10001111100" => douta <= "00011000";
1168
             when "10001111101" => douta <= "00011000";
1169
             when "10001111110" => douta <= "00011000";
1170
             when "10001111111" => douta <= "00011000";
1171
             when "10010000000" => douta <= "00000000";
1172
             when "10010000001" => douta <= "00000000";
1173
             when "10010000010" => douta <= "00000000";
1174
             when "10010000011" => douta <= "00000000";
1175
             when "10010000100" => douta <= "00000000";
1176
             when "10010000101" => douta <= "11111111";
1177
             when "10010000110" => douta <= "00000000";
1178
             when "10010000111" => douta <= "00000000";
1179
             when "10010001000" => douta <= "01101100";
1180
             when "10010001001" => douta <= "01101100";
1181
             when "10010001010" => douta <= "01101100";
1182
             when "10010001011" => douta <= "01101100";
1183
             when "10010001100" => douta <= "01111100";
1184
             when "10010001101" => douta <= "00000000";
1185
             when "10010001110" => douta <= "00000000";
1186
             when "10010001111" => douta <= "00000000";
1187
             when "10010010000" => douta <= "00000000";
1188
             when "10010010001" => douta <= "00000000";
1189
             when "10010010010" => douta <= "01111111";
1190
             when "10010010011" => douta <= "01100000";
1191
             when "10010010100" => douta <= "01111111";
1192
             when "10010010101" => douta <= "00000000";
1193
             when "10010010110" => douta <= "00000000";
1194
             when "10010010111" => douta <= "00000000";
1195
             when "10010011000" => douta <= "01101100";
1196
             when "10010011001" => douta <= "01101100";
1197
             when "10010011010" => douta <= "01101111";
1198
             when "10010011011" => douta <= "01100000";
1199
             when "10010011100" => douta <= "01111111";
1200
             when "10010011101" => douta <= "00000000";
1201
             when "10010011110" => douta <= "00000000";
1202
             when "10010011111" => douta <= "00000000";
1203
             when "10010100000" => douta <= "00000000";
1204
             when "10010100001" => douta <= "00000000";
1205
             when "10010100010" => douta <= "01111100";
1206
             when "10010100011" => douta <= "01101100";
1207
             when "10010100100" => douta <= "01101100";
1208
             when "10010100101" => douta <= "01101100";
1209
             when "10010100110" => douta <= "01101100";
1210
             when "10010100111" => douta <= "01101100";
1211
             when "10010101000" => douta <= "01101100";
1212
             when "10010101001" => douta <= "01101100";
1213
             when "10010101010" => douta <= "01101100";
1214
             when "10010101011" => douta <= "01101100";
1215
             when "10010101100" => douta <= "01101100";
1216
             when "10010101101" => douta <= "01101100";
1217
             when "10010101110" => douta <= "01101100";
1218
             when "10010101111" => douta <= "01101100";
1219
             when "10010110000" => douta <= "00000000";
1220
             when "10010110001" => douta <= "00000000";
1221
             when "10010110010" => douta <= "01111111";
1222
             when "10010110011" => douta <= "01100000";
1223
             when "10010110100" => douta <= "01101111";
1224
             when "10010110101" => douta <= "01101100";
1225
             when "10010110110" => douta <= "01101100";
1226
             when "10010110111" => douta <= "01101100";
1227
             when "10010111000" => douta <= "01101100";
1228
             when "10010111001" => douta <= "01101100";
1229
             when "10010111010" => douta <= "01101111";
1230
             when "10010111011" => douta <= "01100000";
1231
             when "10010111100" => douta <= "01101111";
1232
             when "10010111101" => douta <= "01101100";
1233
             when "10010111110" => douta <= "01101100";
1234
             when "10010111111" => douta <= "01101100";
1235
             when "10011000000" => douta <= "00000000";
1236
             when "10011000001" => douta <= "00000000";
1237
             when "10011000010" => douta <= "11111100";
1238
             when "10011000011" => douta <= "00001100";
1239
             when "10011000100" => douta <= "11111100";
1240
             when "10011000101" => douta <= "00000000";
1241
             when "10011000110" => douta <= "00000000";
1242
             when "10011000111" => douta <= "00000000";
1243
             when "10011001000" => douta <= "01101100";
1244
             when "10011001001" => douta <= "01101100";
1245
             when "10011001010" => douta <= "11101100";
1246
             when "10011001011" => douta <= "00001100";
1247
             when "10011001100" => douta <= "11111100";
1248
             when "10011001101" => douta <= "00000000";
1249
             when "10011001110" => douta <= "00000000";
1250
             when "10011001111" => douta <= "00000000";
1251
             when "10011010000" => douta <= "00000000";
1252
             when "10011010001" => douta <= "00000000";
1253
             when "10011010010" => douta <= "11111111";
1254
             when "10011010011" => douta <= "00000000";
1255
             when "10011010100" => douta <= "11111111";
1256
             when "10011010101" => douta <= "00000000";
1257
             when "10011010110" => douta <= "00000000";
1258
             when "10011010111" => douta <= "00000000";
1259
             when "10011011000" => douta <= "01101100";
1260
             when "10011011001" => douta <= "01101100";
1261
             when "10011011010" => douta <= "11101111";
1262
             when "10011011011" => douta <= "00000000";
1263
             when "10011011100" => douta <= "11111111";
1264
             when "10011011101" => douta <= "00000000";
1265
             when "10011011110" => douta <= "00000000";
1266
             when "10011011111" => douta <= "00000000";
1267
             when "10011100000" => douta <= "00000000";
1268
             when "10011100001" => douta <= "00000000";
1269
             when "10011100010" => douta <= "11111100";
1270
             when "10011100011" => douta <= "00001100";
1271
             when "10011100100" => douta <= "11101100";
1272
             when "10011100101" => douta <= "01101100";
1273
             when "10011100110" => douta <= "01101100";
1274
             when "10011100111" => douta <= "01101100";
1275
             when "10011101000" => douta <= "01101100";
1276
             when "10011101001" => douta <= "01101100";
1277
             when "10011101010" => douta <= "11101100";
1278
             when "10011101011" => douta <= "00001100";
1279
             when "10011101100" => douta <= "11101100";
1280
             when "10011101101" => douta <= "01101100";
1281
             when "10011101110" => douta <= "01101100";
1282
             when "10011101111" => douta <= "01101100";
1283
             when "10011110000" => douta <= "00000000";
1284
             when "10011110001" => douta <= "00000000";
1285
             when "10011110010" => douta <= "11111111";
1286
             when "10011110011" => douta <= "00000000";
1287
             when "10011110100" => douta <= "11101111";
1288
             when "10011110101" => douta <= "01101100";
1289
             when "10011110110" => douta <= "01101100";
1290
             when "10011110111" => douta <= "01101100";
1291
             when "10011111000" => douta <= "01101100";
1292
             when "10011111001" => douta <= "01101100";
1293
             when "10011111010" => douta <= "11101111";
1294
             when "10011111011" => douta <= "00000000";
1295
             when "10011111100" => douta <= "11101111";
1296
             when "10011111101" => douta <= "01101100";
1297
             when "10011111110" => douta <= "01101100";
1298
             when "10011111111" => douta <= "01101100";
1299
             when "10100000000" => douta <= "00000000";
1300
             when "10100000001" => douta <= "00000000";
1301
             when "10100000010" => douta <= "00000000";
1302
             when "10100000011" => douta <= "00000000";
1303
             when "10100000100" => douta <= "00000000";
1304
             when "10100000101" => douta <= "00000000";
1305
             when "10100000110" => douta <= "11000110";
1306
             when "10100000111" => douta <= "11111110";
1307
             when "10100001000" => douta <= "00000000";
1308
             when "10100001001" => douta <= "00110000";
1309
             when "10100001010" => douta <= "00000000";
1310
             when "10100001011" => douta <= "00110000";
1311
             when "10100001100" => douta <= "00110000";
1312
             when "10100001101" => douta <= "01111000";
1313
             when "10100001110" => douta <= "01111000";
1314
             when "10100001111" => douta <= "00110000";
1315
             when "10100010000" => douta <= "00110000";
1316
             when "10100010001" => douta <= "01111000";
1317
             when "10100010010" => douta <= "11001100";
1318
             when "10100010011" => douta <= "11000000";
1319
             when "10100010100" => douta <= "11001100";
1320
             when "10100010101" => douta <= "01111000";
1321
             when "10100010110" => douta <= "00110000";
1322
             when "10100010111" => douta <= "00000000";
1323
             when "10100011000" => douta <= "00111000";
1324
             when "10100011001" => douta <= "01101100";
1325
             when "10100011010" => douta <= "01100100";
1326
             when "10100011011" => douta <= "11110000";
1327
             when "10100011100" => douta <= "01100000";
1328
             when "10100011101" => douta <= "11100110";
1329
             when "10100011110" => douta <= "11111100";
1330
             when "10100011111" => douta <= "00000000";
1331
             when "10100100000" => douta <= "00111000";
1332
             when "10100100001" => douta <= "01100100";
1333
             when "10100100010" => douta <= "11110000";
1334
             when "10100100011" => douta <= "01100000";
1335
             when "10100100100" => douta <= "11110000";
1336
             when "10100100101" => douta <= "01100100";
1337
             when "10100100110" => douta <= "00111000";
1338
             when "10100100111" => douta <= "00000000";
1339
             when "10100101000" => douta <= "11001100";
1340
             when "10100101001" => douta <= "11001100";
1341
             when "10100101010" => douta <= "01111000";
1342
             when "10100101011" => douta <= "11111100";
1343
             when "10100101100" => douta <= "00110000";
1344
             when "10100101101" => douta <= "11111100";
1345
             when "10100101110" => douta <= "00110000";
1346
             when "10100101111" => douta <= "00110000";
1347
             when "10100110000" => douta <= "01001000";
1348
             when "10100110001" => douta <= "01111000";
1349
             when "10100110010" => douta <= "10000100";
1350
             when "10100110011" => douta <= "01100000";
1351
             when "10100110100" => douta <= "00011000";
1352
             when "10100110101" => douta <= "10000100";
1353
             when "10100110110" => douta <= "01111000";
1354
             when "10100110111" => douta <= "00000000";
1355
             when "10100111000" => douta <= "00111110";
1356
             when "10100111001" => douta <= "01100001";
1357
             when "10100111010" => douta <= "00111100";
1358
             when "10100111011" => douta <= "01100110";
1359
             when "10100111100" => douta <= "01100110";
1360
             when "10100111101" => douta <= "00111100";
1361
             when "10100111110" => douta <= "10000110";
1362
             when "10100111111" => douta <= "01111100";
1363
             when "10101000000" => douta <= "01111000";
1364
             when "10101000001" => douta <= "00000000";
1365
             when "10101000010" => douta <= "01111100";
1366
             when "10101000011" => douta <= "11000000";
1367
             when "10101000100" => douta <= "01111000";
1368
             when "10101000101" => douta <= "00001100";
1369
             when "10101000110" => douta <= "11111000";
1370
             when "10101000111" => douta <= "00000000";
1371
             when "10101001000" => douta <= "01111100";
1372
             when "10101001001" => douta <= "10000010";
1373
             when "10101001010" => douta <= "10011010";
1374
             when "10101001011" => douta <= "10100010";
1375
             when "10101001100" => douta <= "10100010";
1376
             when "10101001101" => douta <= "10011010";
1377
             when "10101001110" => douta <= "10000010";
1378
             when "10101001111" => douta <= "01111100";
1379
             when "10101010000" => douta <= "00111100";
1380
             when "10101010001" => douta <= "01101100";
1381
             when "10101010010" => douta <= "00111110";
1382
             when "10101010011" => douta <= "00000000";
1383
             when "10101010100" => douta <= "01111110";
1384
             when "10101010101" => douta <= "00000000";
1385
             when "10101010110" => douta <= "00000000";
1386
             when "10101010111" => douta <= "00000000";
1387
             when "10101011000" => douta <= "00000000";
1388
             when "10101011001" => douta <= "00110011";
1389
             when "10101011010" => douta <= "01100110";
1390
             when "10101011011" => douta <= "11001100";
1391
             when "10101011100" => douta <= "01100110";
1392
             when "10101011101" => douta <= "00110011";
1393
             when "10101011110" => douta <= "00000000";
1394
             when "10101011111" => douta <= "00000000";
1395
             when "10101100000" => douta <= "00000000";
1396
             when "10101100001" => douta <= "00000000";
1397
             when "10101100010" => douta <= "00000000";
1398
             when "10101100011" => douta <= "11111100";
1399
             when "10101100100" => douta <= "00001100";
1400
             when "10101100101" => douta <= "00001100";
1401
             when "10101100110" => douta <= "00000000";
1402
             when "10101100111" => douta <= "00000000";
1403
             when "10101101000" => douta <= "00000000";
1404
             when "10101101001" => douta <= "00000000";
1405
             when "10101101010" => douta <= "00000000";
1406
             when "10101101011" => douta <= "01111100";
1407
             when "10101101100" => douta <= "00000000";
1408
             when "10101101101" => douta <= "00000000";
1409
             when "10101101110" => douta <= "00000000";
1410
             when "10101101111" => douta <= "00000000";
1411
             when "10101110000" => douta <= "01111100";
1412
             when "10101110001" => douta <= "10000010";
1413
             when "10101110010" => douta <= "10110010";
1414
             when "10101110011" => douta <= "10101010";
1415
             when "10101110100" => douta <= "10110010";
1416
             when "10101110101" => douta <= "10101010";
1417
             when "10101110110" => douta <= "10000010";
1418
             when "10101110111" => douta <= "01111100";
1419
             when "10101111000" => douta <= "11111111";
1420
             when "10101111001" => douta <= "00000000";
1421
             when "10101111010" => douta <= "00000000";
1422
             when "10101111011" => douta <= "00000000";
1423
             when "10101111100" => douta <= "00000000";
1424
             when "10101111101" => douta <= "00000000";
1425
             when "10101111110" => douta <= "00000000";
1426
             when "10101111111" => douta <= "00000000";
1427
             when "10110000000" => douta <= "01110000";
1428
             when "10110000001" => douta <= "11011000";
1429
             when "10110000010" => douta <= "01110000";
1430
             when "10110000011" => douta <= "00000000";
1431
             when "10110000100" => douta <= "00000000";
1432
             when "10110000101" => douta <= "00000000";
1433
             when "10110000110" => douta <= "00000000";
1434
             when "10110000111" => douta <= "00000000";
1435
             when "10110001000" => douta <= "00110000";
1436
             when "10110001001" => douta <= "00110000";
1437
             when "10110001010" => douta <= "11111100";
1438
             when "10110001011" => douta <= "00110000";
1439
             when "10110001100" => douta <= "00110000";
1440
             when "10110001101" => douta <= "00000000";
1441
             when "10110001110" => douta <= "11111100";
1442
             when "10110001111" => douta <= "00000000";
1443
             when "10110010000" => douta <= "01110000";
1444
             when "10110010001" => douta <= "11011000";
1445
             when "10110010010" => douta <= "00110000";
1446
             when "10110010011" => douta <= "01100000";
1447
             when "10110010100" => douta <= "11111000";
1448
             when "10110010101" => douta <= "00000000";
1449
             when "10110010110" => douta <= "00000000";
1450
             when "10110010111" => douta <= "00000000";
1451
             when "10110011000" => douta <= "01110000";
1452
             when "10110011001" => douta <= "11011000";
1453
             when "10110011010" => douta <= "00110000";
1454
             when "10110011011" => douta <= "11011000";
1455
             when "10110011100" => douta <= "01110000";
1456
             when "10110011101" => douta <= "00000000";
1457
             when "10110011110" => douta <= "00000000";
1458
             when "10110011111" => douta <= "00000000";
1459
             when "10110100000" => douta <= "01101100";
1460
             when "10110100001" => douta <= "11111110";
1461
             when "10110100010" => douta <= "11001100";
1462
             when "10110100011" => douta <= "00011000";
1463
             when "10110100100" => douta <= "00110000";
1464
             when "10110100101" => douta <= "01100110";
1465
             when "10110100110" => douta <= "11111110";
1466
             when "10110100111" => douta <= "00000000";
1467
             when "10110101000" => douta <= "00000000";
1468
             when "10110101001" => douta <= "00000000";
1469
             when "10110101010" => douta <= "11001100";
1470
             when "10110101011" => douta <= "11001100";
1471
             when "10110101100" => douta <= "11001100";
1472
             when "10110101101" => douta <= "11001100";
1473
             when "10110101110" => douta <= "11110110";
1474
             when "10110101111" => douta <= "11000000";
1475
             when "10110110000" => douta <= "01111111";
1476
             when "10110110001" => douta <= "11011011";
1477
             when "10110110010" => douta <= "01111011";
1478
             when "10110110011" => douta <= "00111011";
1479
             when "10110110100" => douta <= "00011011";
1480
             when "10110110101" => douta <= "00011011";
1481
             when "10110110110" => douta <= "00011011";
1482
             when "10110110111" => douta <= "00000000";
1483
             when "10110111000" => douta <= "00000000";
1484
             when "10110111001" => douta <= "00000000";
1485
             when "10110111010" => douta <= "00000000";
1486
             when "10110111011" => douta <= "00011000";
1487
             when "10110111100" => douta <= "00011000";
1488
             when "10110111101" => douta <= "00000000";
1489
             when "10110111110" => douta <= "00000000";
1490
             when "10110111111" => douta <= "00000000";
1491
             when "10111000000" => douta <= "01111000";
1492
             when "10111000001" => douta <= "00000000";
1493
             when "10111000010" => douta <= "11111100";
1494
             when "10111000011" => douta <= "10011000";
1495
             when "10111000100" => douta <= "00110000";
1496
             when "10111000101" => douta <= "01100100";
1497
             when "10111000110" => douta <= "11111100";
1498
             when "10111000111" => douta <= "00000000";
1499
             when "10111001000" => douta <= "01100000";
1500
             when "10111001001" => douta <= "11100000";
1501
             when "10111001010" => douta <= "01100000";
1502
             when "10111001011" => douta <= "01100000";
1503
             when "10111001100" => douta <= "11110000";
1504
             when "10111001101" => douta <= "00000000";
1505
             when "10111001110" => douta <= "00000000";
1506
             when "10111001111" => douta <= "00000000";
1507
             when "10111010000" => douta <= "00111000";
1508
             when "10111010001" => douta <= "01101100";
1509
             when "10111010010" => douta <= "00111000";
1510
             when "10111010011" => douta <= "00000000";
1511
             when "10111010100" => douta <= "01111100";
1512
             when "10111010101" => douta <= "00000000";
1513
             when "10111010110" => douta <= "00000000";
1514
             when "10111010111" => douta <= "00000000";
1515
             when "10111011000" => douta <= "00000000";
1516
             when "10111011001" => douta <= "11001100";
1517
             when "10111011010" => douta <= "01100110";
1518
             when "10111011011" => douta <= "00110011";
1519
             when "10111011100" => douta <= "01100110";
1520
             when "10111011101" => douta <= "11001100";
1521
             when "10111011110" => douta <= "00000000";
1522
             when "10111011111" => douta <= "00000000";
1523
             when "10111100000" => douta <= "01111110";
1524
             when "10111100001" => douta <= "11011000";
1525
             when "10111100010" => douta <= "11011000";
1526
             when "10111100011" => douta <= "11011100";
1527
             when "10111100100" => douta <= "11011000";
1528
             when "10111100101" => douta <= "11011000";
1529
             when "10111100110" => douta <= "01111110";
1530
             when "10111100111" => douta <= "00000000";
1531
             when "10111101000" => douta <= "00000000";
1532
             when "10111101001" => douta <= "00000000";
1533
             when "10111101010" => douta <= "01111110";
1534
             when "10111101011" => douta <= "11011011";
1535
             when "10111101100" => douta <= "11011110";
1536
             when "10111101101" => douta <= "11011000";
1537
             when "10111101110" => douta <= "01111110";
1538
             when "10111101111" => douta <= "00000000";
1539
             when "10111110000" => douta <= "11001100";
1540
             when "10111110001" => douta <= "00000000";
1541
             when "10111110010" => douta <= "11001100";
1542
             when "10111110011" => douta <= "01111000";
1543
             when "10111110100" => douta <= "00110000";
1544
             when "10111110101" => douta <= "00110000";
1545
             when "10111110110" => douta <= "01111000";
1546
             when "10111110111" => douta <= "00000000";
1547
             when "10111111000" => douta <= "00000000";
1548
             when "10111111001" => douta <= "00011000";
1549
             when "10111111010" => douta <= "00000000";
1550
             when "10111111011" => douta <= "00011000";
1551
             when "10111111100" => douta <= "00110000";
1552
             when "10111111101" => douta <= "01100000";
1553
             when "10111111110" => douta <= "01100110";
1554
             when "10111111111" => douta <= "00111100";
1555
             when "11000000000" => douta <= "01100000";
1556
             when "11000000001" => douta <= "00110000";
1557
             when "11000000010" => douta <= "01111000";
1558
             when "11000000011" => douta <= "11001100";
1559
             when "11000000100" => douta <= "11111100";
1560
             when "11000000101" => douta <= "11001100";
1561
             when "11000000110" => douta <= "11001100";
1562
             when "11000000111" => douta <= "00000000";
1563
             when "11000001000" => douta <= "00011000";
1564
             when "11000001001" => douta <= "00110000";
1565
             when "11000001010" => douta <= "01111000";
1566
             when "11000001011" => douta <= "11001100";
1567
             when "11000001100" => douta <= "11111100";
1568
             when "11000001101" => douta <= "11001100";
1569
             when "11000001110" => douta <= "11001100";
1570
             when "11000001111" => douta <= "00000000";
1571
             when "11000010000" => douta <= "01111000";
1572
             when "11000010001" => douta <= "10000100";
1573
             when "11000010010" => douta <= "01111000";
1574
             when "11000010011" => douta <= "11001100";
1575
             when "11000010100" => douta <= "11111100";
1576
             when "11000010101" => douta <= "11001100";
1577
             when "11000010110" => douta <= "11001100";
1578
             when "11000010111" => douta <= "00000000";
1579
             when "11000011000" => douta <= "01110110";
1580
             when "11000011001" => douta <= "11011100";
1581
             when "11000011010" => douta <= "01111000";
1582
             when "11000011011" => douta <= "11001100";
1583
             when "11000011100" => douta <= "11111100";
1584
             when "11000011101" => douta <= "11001100";
1585
             when "11000011110" => douta <= "11001100";
1586
             when "11000011111" => douta <= "00000000";
1587
             when "11000100000" => douta <= "11001100";
1588
             when "11000100001" => douta <= "00000000";
1589
             when "11000100010" => douta <= "01111000";
1590
             when "11000100011" => douta <= "11001100";
1591
             when "11000100100" => douta <= "11111100";
1592
             when "11000100101" => douta <= "11001100";
1593
             when "11000100110" => douta <= "11001100";
1594
             when "11000100111" => douta <= "00000000";
1595
             when "11000101000" => douta <= "00110000";
1596
             when "11000101001" => douta <= "01001000";
1597
             when "11000101010" => douta <= "01111000";
1598
             when "11000101011" => douta <= "11001100";
1599
             when "11000101100" => douta <= "11111100";
1600
             when "11000101101" => douta <= "11001100";
1601
             when "11000101110" => douta <= "11001100";
1602
             when "11000101111" => douta <= "00000000";
1603
             when "11000110000" => douta <= "00111110";
1604
             when "11000110001" => douta <= "01111000";
1605
             when "11000110010" => douta <= "11011000";
1606
             when "11000110011" => douta <= "11111100";
1607
             when "11000110100" => douta <= "11011000";
1608
             when "11000110101" => douta <= "11011000";
1609
             when "11000110110" => douta <= "11011110";
1610
             when "11000110111" => douta <= "00000000";
1611
             when "11000111000" => douta <= "00111100";
1612
             when "11000111001" => douta <= "01100110";
1613
             when "11000111010" => douta <= "11000000";
1614
             when "11000111011" => douta <= "11000000";
1615
             when "11000111100" => douta <= "01100110";
1616
             when "11000111101" => douta <= "00111100";
1617
             when "11000111110" => douta <= "00001100";
1618
             when "11000111111" => douta <= "01111000";
1619
             when "11001000000" => douta <= "01100000";
1620
             when "11001000001" => douta <= "00110000";
1621
             when "11001000010" => douta <= "11111110";
1622
             when "11001000011" => douta <= "01100010";
1623
             when "11001000100" => douta <= "01111000";
1624
             when "11001000101" => douta <= "01100010";
1625
             when "11001000110" => douta <= "11111110";
1626
             when "11001000111" => douta <= "00000000";
1627
             when "11001001000" => douta <= "00001100";
1628
             when "11001001001" => douta <= "00011000";
1629
             when "11001001010" => douta <= "11111110";
1630
             when "11001001011" => douta <= "01100010";
1631
             when "11001001100" => douta <= "01111000";
1632
             when "11001001101" => douta <= "01100010";
1633
             when "11001001110" => douta <= "11111110";
1634
             when "11001001111" => douta <= "00000000";
1635
             when "11001010000" => douta <= "00111000";
1636
             when "11001010001" => douta <= "01101100";
1637
             when "11001010010" => douta <= "11111110";
1638
             when "11001010011" => douta <= "01100010";
1639
             when "11001010100" => douta <= "01111000";
1640
             when "11001010101" => douta <= "01100010";
1641
             when "11001010110" => douta <= "11111110";
1642
             when "11001010111" => douta <= "00000000";
1643
             when "11001011000" => douta <= "01101100";
1644
             when "11001011001" => douta <= "00000000";
1645
             when "11001011010" => douta <= "11111110";
1646
             when "11001011011" => douta <= "01100010";
1647
             when "11001011100" => douta <= "01111000";
1648
             when "11001011101" => douta <= "01100010";
1649
             when "11001011110" => douta <= "11111110";
1650
             when "11001011111" => douta <= "00000000";
1651
             when "11001100000" => douta <= "01100000";
1652
             when "11001100001" => douta <= "00110000";
1653
             when "11001100010" => douta <= "01111000";
1654
             when "11001100011" => douta <= "00110000";
1655
             when "11001100100" => douta <= "00110000";
1656
             when "11001100101" => douta <= "00110000";
1657
             when "11001100110" => douta <= "01111000";
1658
             when "11001100111" => douta <= "00000000";
1659
             when "11001101000" => douta <= "00011000";
1660
             when "11001101001" => douta <= "00110000";
1661
             when "11001101010" => douta <= "01111000";
1662
             when "11001101011" => douta <= "00110000";
1663
             when "11001101100" => douta <= "00110000";
1664
             when "11001101101" => douta <= "00110000";
1665
             when "11001101110" => douta <= "01111000";
1666
             when "11001101111" => douta <= "00000000";
1667
             when "11001110000" => douta <= "01111000";
1668
             when "11001110001" => douta <= "11001100";
1669
             when "11001110010" => douta <= "01111000";
1670
             when "11001110011" => douta <= "00110000";
1671
             when "11001110100" => douta <= "00110000";
1672
             when "11001110101" => douta <= "00110000";
1673
             when "11001110110" => douta <= "01111000";
1674
             when "11001110111" => douta <= "00000000";
1675
             when "11001111000" => douta <= "11001100";
1676
             when "11001111001" => douta <= "00000000";
1677
             when "11001111010" => douta <= "01111000";
1678
             when "11001111011" => douta <= "00110000";
1679
             when "11001111100" => douta <= "00110000";
1680
             when "11001111101" => douta <= "00110000";
1681
             when "11001111110" => douta <= "01111000";
1682
             when "11001111111" => douta <= "00000000";
1683
             when "11010000000" => douta <= "11111000";
1684
             when "11010000001" => douta <= "01101100";
1685
             when "11010000010" => douta <= "01100110";
1686
             when "11010000011" => douta <= "11110110";
1687
             when "11010000100" => douta <= "01100110";
1688
             when "11010000101" => douta <= "01101100";
1689
             when "11010000110" => douta <= "11111000";
1690
             when "11010000111" => douta <= "00000000";
1691
             when "11010001000" => douta <= "01110110";
1692
             when "11010001001" => douta <= "11011100";
1693
             when "11010001010" => douta <= "11100110";
1694
             when "11010001011" => douta <= "11110110";
1695
             when "11010001100" => douta <= "11011110";
1696
             when "11010001101" => douta <= "11001110";
1697
             when "11010001110" => douta <= "11000110";
1698
             when "11010001111" => douta <= "00000000";
1699
             when "11010010000" => douta <= "01100000";
1700
             when "11010010001" => douta <= "00110000";
1701
             when "11010010010" => douta <= "01111000";
1702
             when "11010010011" => douta <= "11001100";
1703
             when "11010010100" => douta <= "11001100";
1704
             when "11010010101" => douta <= "11001100";
1705
             when "11010010110" => douta <= "01111000";
1706
             when "11010010111" => douta <= "00000000";
1707
             when "11010011000" => douta <= "00011000";
1708
             when "11010011001" => douta <= "00110000";
1709
             when "11010011010" => douta <= "01111000";
1710
             when "11010011011" => douta <= "11001100";
1711
             when "11010011100" => douta <= "11001100";
1712
             when "11010011101" => douta <= "11001100";
1713
             when "11010011110" => douta <= "01111000";
1714
             when "11010011111" => douta <= "00000000";
1715
             when "11010100000" => douta <= "01111000";
1716
             when "11010100001" => douta <= "11001100";
1717
             when "11010100010" => douta <= "01111000";
1718
             when "11010100011" => douta <= "11001100";
1719
             when "11010100100" => douta <= "11001100";
1720
             when "11010100101" => douta <= "11001100";
1721
             when "11010100110" => douta <= "01111000";
1722
             when "11010100111" => douta <= "00000000";
1723
             when "11010101000" => douta <= "01110110";
1724
             when "11010101001" => douta <= "11011100";
1725
             when "11010101010" => douta <= "01111000";
1726
             when "11010101011" => douta <= "11001100";
1727
             when "11010101100" => douta <= "11001100";
1728
             when "11010101101" => douta <= "11001100";
1729
             when "11010101110" => douta <= "01111000";
1730
             when "11010101111" => douta <= "00000000";
1731
             when "11010110000" => douta <= "11001100";
1732
             when "11010110001" => douta <= "00000000";
1733
             when "11010110010" => douta <= "01111000";
1734
             when "11010110011" => douta <= "11001100";
1735
             when "11010110100" => douta <= "11001100";
1736
             when "11010110101" => douta <= "11001100";
1737
             when "11010110110" => douta <= "01111000";
1738
             when "11010110111" => douta <= "00000000";
1739
             when "11010111000" => douta <= "00000000";
1740
             when "11010111001" => douta <= "01101100";
1741
             when "11010111010" => douta <= "00111000";
1742
             when "11010111011" => douta <= "00111000";
1743
             when "11010111100" => douta <= "01101100";
1744
             when "11010111101" => douta <= "00000000";
1745
             when "11010111110" => douta <= "00000000";
1746
             when "11010111111" => douta <= "00000000";
1747
             when "11011000000" => douta <= "00111110";
1748
             when "11011000001" => douta <= "01101100";
1749
             when "11011000010" => douta <= "11011110";
1750
             when "11011000011" => douta <= "11010110";
1751
             when "11011000100" => douta <= "11110110";
1752
             when "11011000101" => douta <= "01101100";
1753
             when "11011000110" => douta <= "11111000";
1754
             when "11011000111" => douta <= "00000000";
1755
             when "11011001000" => douta <= "01100000";
1756
             when "11011001001" => douta <= "00110000";
1757
             when "11011001010" => douta <= "11001100";
1758
             when "11011001011" => douta <= "11001100";
1759
             when "11011001100" => douta <= "11001100";
1760
             when "11011001101" => douta <= "11001100";
1761
             when "11011001110" => douta <= "01111000";
1762
             when "11011001111" => douta <= "00000000";
1763
             when "11011010000" => douta <= "00011000";
1764
             when "11011010001" => douta <= "00110000";
1765
             when "11011010010" => douta <= "11001100";
1766
             when "11011010011" => douta <= "11001100";
1767
             when "11011010100" => douta <= "11001100";
1768
             when "11011010101" => douta <= "11001100";
1769
             when "11011010110" => douta <= "01111000";
1770
             when "11011010111" => douta <= "00000000";
1771
             when "11011011000" => douta <= "01111000";
1772
             when "11011011001" => douta <= "11001100";
1773
             when "11011011010" => douta <= "00000000";
1774
             when "11011011011" => douta <= "11001100";
1775
             when "11011011100" => douta <= "11001100";
1776
             when "11011011101" => douta <= "11001100";
1777
             when "11011011110" => douta <= "01111000";
1778
             when "11011011111" => douta <= "00000000";
1779
             when "11011100000" => douta <= "11001100";
1780
             when "11011100001" => douta <= "00000000";
1781
             when "11011100010" => douta <= "11001100";
1782
             when "11011100011" => douta <= "11001100";
1783
             when "11011100100" => douta <= "11001100";
1784
             when "11011100101" => douta <= "11001100";
1785
             when "11011100110" => douta <= "01111000";
1786
             when "11011100111" => douta <= "00000000";
1787
             when "11011101000" => douta <= "00011000";
1788
             when "11011101001" => douta <= "00110000";
1789
             when "11011101010" => douta <= "11001100";
1790
             when "11011101011" => douta <= "11001100";
1791
             when "11011101100" => douta <= "01111000";
1792
             when "11011101101" => douta <= "00110000";
1793
             when "11011101110" => douta <= "01111000";
1794
             when "11011101111" => douta <= "00000000";
1795
             when "11011110000" => douta <= "11110000";
1796
             when "11011110001" => douta <= "01100000";
1797
             when "11011110010" => douta <= "01111100";
1798
             when "11011110011" => douta <= "01100110";
1799
             when "11011110100" => douta <= "01111100";
1800
             when "11011110101" => douta <= "01100000";
1801
             when "11011110110" => douta <= "11110000";
1802
             when "11011110111" => douta <= "00000000";
1803
             when "11011111000" => douta <= "01111100";
1804
             when "11011111001" => douta <= "11000110";
1805
             when "11011111010" => douta <= "11000110";
1806
             when "11011111011" => douta <= "11001100";
1807
             when "11011111100" => douta <= "11000110";
1808
             when "11011111101" => douta <= "11010110";
1809
             when "11011111110" => douta <= "11011100";
1810
             when "11011111111" => douta <= "10000000";
1811
             when "11100000000" => douta <= "01100000";
1812
             when "11100000001" => douta <= "00110000";
1813
             when "11100000010" => douta <= "01111000";
1814
             when "11100000011" => douta <= "00001100";
1815
             when "11100000100" => douta <= "01111100";
1816
             when "11100000101" => douta <= "11001100";
1817
             when "11100000110" => douta <= "01110110";
1818
             when "11100000111" => douta <= "00000000";
1819
             when "11100001000" => douta <= "00011000";
1820
             when "11100001001" => douta <= "00110000";
1821
             when "11100001010" => douta <= "01111000";
1822
             when "11100001011" => douta <= "00001100";
1823
             when "11100001100" => douta <= "01111100";
1824
             when "11100001101" => douta <= "11001100";
1825
             when "11100001110" => douta <= "01110110";
1826
             when "11100001111" => douta <= "00000000";
1827
             when "11100010000" => douta <= "01111000";
1828
             when "11100010001" => douta <= "10000100";
1829
             when "11100010010" => douta <= "01111000";
1830
             when "11100010011" => douta <= "00001100";
1831
             when "11100010100" => douta <= "01111100";
1832
             when "11100010101" => douta <= "11001100";
1833
             when "11100010110" => douta <= "01110110";
1834
             when "11100010111" => douta <= "00000000";
1835
             when "11100011000" => douta <= "01110110";
1836
             when "11100011001" => douta <= "11011100";
1837
             when "11100011010" => douta <= "01111000";
1838
             when "11100011011" => douta <= "00001100";
1839
             when "11100011100" => douta <= "01111100";
1840
             when "11100011101" => douta <= "11001100";
1841
             when "11100011110" => douta <= "01110110";
1842
             when "11100011111" => douta <= "00000000";
1843
             when "11100100000" => douta <= "01101100";
1844
             when "11100100001" => douta <= "00000000";
1845
             when "11100100010" => douta <= "01111000";
1846
             when "11100100011" => douta <= "00001100";
1847
             when "11100100100" => douta <= "01111100";
1848
             when "11100100101" => douta <= "11001100";
1849
             when "11100100110" => douta <= "01110110";
1850
             when "11100100111" => douta <= "00000000";
1851
             when "11100101000" => douta <= "00111000";
1852
             when "11100101001" => douta <= "01101100";
1853
             when "11100101010" => douta <= "01111000";
1854
             when "11100101011" => douta <= "00001100";
1855
             when "11100101100" => douta <= "01111100";
1856
             when "11100101101" => douta <= "11001100";
1857
             when "11100101110" => douta <= "01110110";
1858
             when "11100101111" => douta <= "00000000";
1859
             when "11100110000" => douta <= "00000000";
1860
             when "11100110001" => douta <= "00000000";
1861
             when "11100110010" => douta <= "01111110";
1862
             when "11100110011" => douta <= "00011011";
1863
             when "11100110100" => douta <= "01111110";
1864
             when "11100110101" => douta <= "11011000";
1865
             when "11100110110" => douta <= "01111110";
1866
             when "11100110111" => douta <= "00000000";
1867
             when "11100111000" => douta <= "00000000";
1868
             when "11100111001" => douta <= "01111000";
1869
             when "11100111010" => douta <= "11001100";
1870
             when "11100111011" => douta <= "11000000";
1871
             when "11100111100" => douta <= "11001100";
1872
             when "11100111101" => douta <= "01111000";
1873
             when "11100111110" => douta <= "00011000";
1874
             when "11100111111" => douta <= "01110000";
1875
             when "11101000000" => douta <= "01100000";
1876
             when "11101000001" => douta <= "00110000";
1877
             when "11101000010" => douta <= "01111000";
1878
             when "11101000011" => douta <= "11001100";
1879
             when "11101000100" => douta <= "11111100";
1880
             when "11101000101" => douta <= "11000000";
1881
             when "11101000110" => douta <= "01111000";
1882
             when "11101000111" => douta <= "00000000";
1883
             when "11101001000" => douta <= "00001100";
1884
             when "11101001001" => douta <= "00011000";
1885
             when "11101001010" => douta <= "01111000";
1886
             when "11101001011" => douta <= "11001100";
1887
             when "11101001100" => douta <= "11111100";
1888
             when "11101001101" => douta <= "11000000";
1889
             when "11101001110" => douta <= "01111000";
1890
             when "11101001111" => douta <= "00000000";
1891
             when "11101010000" => douta <= "01111000";
1892
             when "11101010001" => douta <= "10000100";
1893
             when "11101010010" => douta <= "01111000";
1894
             when "11101010011" => douta <= "11001100";
1895
             when "11101010100" => douta <= "11111100";
1896
             when "11101010101" => douta <= "11000000";
1897
             when "11101010110" => douta <= "01111000";
1898
             when "11101010111" => douta <= "00000000";
1899
             when "11101011000" => douta <= "11001100";
1900
             when "11101011001" => douta <= "00000000";
1901
             when "11101011010" => douta <= "01111000";
1902
             when "11101011011" => douta <= "11001100";
1903
             when "11101011100" => douta <= "11111100";
1904
             when "11101011101" => douta <= "11000000";
1905
             when "11101011110" => douta <= "01111000";
1906
             when "11101011111" => douta <= "00000000";
1907
             when "11101100000" => douta <= "01100000";
1908
             when "11101100001" => douta <= "00110000";
1909
             when "11101100010" => douta <= "00000000";
1910
             when "11101100011" => douta <= "01110000";
1911
             when "11101100100" => douta <= "00110000";
1912
             when "11101100101" => douta <= "00110000";
1913
             when "11101100110" => douta <= "01111000";
1914
             when "11101100111" => douta <= "00000000";
1915
             when "11101101000" => douta <= "00011000";
1916
             when "11101101001" => douta <= "00110000";
1917
             when "11101101010" => douta <= "00000000";
1918
             when "11101101011" => douta <= "01110000";
1919
             when "11101101100" => douta <= "00110000";
1920
             when "11101101101" => douta <= "00110000";
1921
             when "11101101110" => douta <= "01111000";
1922
             when "11101101111" => douta <= "00000000";
1923
             when "11101110000" => douta <= "01110000";
1924
             when "11101110001" => douta <= "11011000";
1925
             when "11101110010" => douta <= "00000000";
1926
             when "11101110011" => douta <= "01110000";
1927
             when "11101110100" => douta <= "00110000";
1928
             when "11101110101" => douta <= "00110000";
1929
             when "11101110110" => douta <= "01111000";
1930
             when "11101110111" => douta <= "00000000";
1931
             when "11101111000" => douta <= "00000000";
1932
             when "11101111001" => douta <= "11011000";
1933
             when "11101111010" => douta <= "00000000";
1934
             when "11101111011" => douta <= "01110000";
1935
             when "11101111100" => douta <= "00110000";
1936
             when "11101111101" => douta <= "00110000";
1937
             when "11101111110" => douta <= "01111000";
1938
             when "11101111111" => douta <= "00000000";
1939
             when "11110000000" => douta <= "01111000";
1940
             when "11110000001" => douta <= "01110000";
1941
             when "11110000010" => douta <= "00011000";
1942
             when "11110000011" => douta <= "01111100";
1943
             when "11110000100" => douta <= "11001100";
1944
             when "11110000101" => douta <= "11001100";
1945
             when "11110000110" => douta <= "01111000";
1946
             when "11110000111" => douta <= "00000000";
1947
             when "11110001000" => douta <= "01110110";
1948
             when "11110001001" => douta <= "11011100";
1949
             when "11110001010" => douta <= "11111000";
1950
             when "11110001011" => douta <= "11001100";
1951
             when "11110001100" => douta <= "11001100";
1952
             when "11110001101" => douta <= "11001100";
1953
             when "11110001110" => douta <= "11001100";
1954
             when "11110001111" => douta <= "00000000";
1955
             when "11110010000" => douta <= "01100000";
1956
             when "11110010001" => douta <= "00110000";
1957
             when "11110010010" => douta <= "00000000";
1958
             when "11110010011" => douta <= "01111000";
1959
             when "11110010100" => douta <= "11001100";
1960
             when "11110010101" => douta <= "11001100";
1961
             when "11110010110" => douta <= "01111000";
1962
             when "11110010111" => douta <= "00000000";
1963
             when "11110011000" => douta <= "00011000";
1964
             when "11110011001" => douta <= "00110000";
1965
             when "11110011010" => douta <= "00000000";
1966
             when "11110011011" => douta <= "01111000";
1967
             when "11110011100" => douta <= "11001100";
1968
             when "11110011101" => douta <= "11001100";
1969
             when "11110011110" => douta <= "01111000";
1970
             when "11110011111" => douta <= "00000000";
1971
             when "11110100000" => douta <= "01111000";
1972
             when "11110100001" => douta <= "11001100";
1973
             when "11110100010" => douta <= "00000000";
1974
             when "11110100011" => douta <= "01111000";
1975
             when "11110100100" => douta <= "11001100";
1976
             when "11110100101" => douta <= "11001100";
1977
             when "11110100110" => douta <= "01111000";
1978
             when "11110100111" => douta <= "00000000";
1979
             when "11110101000" => douta <= "01110110";
1980
             when "11110101001" => douta <= "11011100";
1981
             when "11110101010" => douta <= "00000000";
1982
             when "11110101011" => douta <= "01111000";
1983
             when "11110101100" => douta <= "11001100";
1984
             when "11110101101" => douta <= "11001100";
1985
             when "11110101110" => douta <= "01111000";
1986
             when "11110101111" => douta <= "00000000";
1987
             when "11110110000" => douta <= "00000000";
1988
             when "11110110001" => douta <= "11001100";
1989
             when "11110110010" => douta <= "00000000";
1990
             when "11110110011" => douta <= "01111000";
1991
             when "11110110100" => douta <= "11001100";
1992
             when "11110110101" => douta <= "11001100";
1993
             when "11110110110" => douta <= "01111000";
1994
             when "11110110111" => douta <= "00000000";
1995
             when "11110111000" => douta <= "00000000";
1996
             when "11110111001" => douta <= "00110000";
1997
             when "11110111010" => douta <= "00000000";
1998
             when "11110111011" => douta <= "11111100";
1999
             when "11110111100" => douta <= "00000000";
2000
             when "11110111101" => douta <= "00110000";
2001
             when "11110111110" => douta <= "00000000";
2002
             when "11110111111" => douta <= "00000000";
2003
             when "11111000000" => douta <= "00000000";
2004
             when "11111000001" => douta <= "00000000";
2005
             when "11111000010" => douta <= "01111100";
2006
             when "11111000011" => douta <= "11011100";
2007
             when "11111000100" => douta <= "11111100";
2008
             when "11111000101" => douta <= "11101100";
2009
             when "11111000110" => douta <= "11111000";
2010
             when "11111000111" => douta <= "00000000";
2011
             when "11111001000" => douta <= "01100000";
2012
             when "11111001001" => douta <= "00110000";
2013
             when "11111001010" => douta <= "11001100";
2014
             when "11111001011" => douta <= "11001100";
2015
             when "11111001100" => douta <= "11001100";
2016
             when "11111001101" => douta <= "11001100";
2017
             when "11111001110" => douta <= "01110110";
2018
             when "11111001111" => douta <= "00000000";
2019
             when "11111010000" => douta <= "00011000";
2020
             when "11111010001" => douta <= "00110000";
2021
             when "11111010010" => douta <= "11001100";
2022
             when "11111010011" => douta <= "11001100";
2023
             when "11111010100" => douta <= "11001100";
2024
             when "11111010101" => douta <= "11001100";
2025
             when "11111010110" => douta <= "01110110";
2026
             when "11111010111" => douta <= "00000000";
2027
             when "11111011000" => douta <= "01111000";
2028
             when "11111011001" => douta <= "11001100";
2029
             when "11111011010" => douta <= "00000000";
2030
             when "11111011011" => douta <= "11001100";
2031
             when "11111011100" => douta <= "11001100";
2032
             when "11111011101" => douta <= "11001100";
2033
             when "11111011110" => douta <= "01110110";
2034
             when "11111011111" => douta <= "00000000";
2035
             when "11111100000" => douta <= "11001100";
2036
             when "11111100001" => douta <= "00000000";
2037
             when "11111100010" => douta <= "11001100";
2038
             when "11111100011" => douta <= "11001100";
2039
             when "11111100100" => douta <= "11001100";
2040
             when "11111100101" => douta <= "11001100";
2041
             when "11111100110" => douta <= "01110110";
2042
             when "11111100111" => douta <= "00000000";
2043
             when "11111101000" => douta <= "00011000";
2044
             when "11111101001" => douta <= "00110000";
2045
             when "11111101010" => douta <= "11001100";
2046
             when "11111101011" => douta <= "11001100";
2047
             when "11111101100" => douta <= "11001100";
2048
             when "11111101101" => douta <= "01111100";
2049
             when "11111101110" => douta <= "00001100";
2050
             when "11111101111" => douta <= "11111000";
2051
             when "11111110000" => douta <= "11110000";
2052
             when "11111110001" => douta <= "01100000";
2053
             when "11111110010" => douta <= "01111000";
2054
             when "11111110011" => douta <= "01101100";
2055
             when "11111110100" => douta <= "01101100";
2056
             when "11111110101" => douta <= "01111000";
2057
             when "11111110110" => douta <= "01100000";
2058
             when "11111110111" => douta <= "11110000";
2059
             when "11111111000" => douta <= "11001100";
2060
             when "11111111001" => douta <= "00000000";
2061
             when "11111111010" => douta <= "11001100";
2062
             when "11111111011" => douta <= "11001100";
2063
             when "11111111100" => douta <= "11001100";
2064
             when "11111111101" => douta <= "01111100";
2065
             when "11111111110" => douta <= "00001100";
2066
             when "11111111111" => douta <= "11111000";
2067
             when others => douta <= "00000000";
2068
        end case;
2069
 end if;
2070
end process;
2071
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.