OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7/] [rom/] [rom.vhd] - Blame information for rev 34

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 rrred
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity rom is
6
        port(
7
                Clk             : in std_logic;
8
                A               : in std_logic_vector(11 downto 0);
9
                D               : out std_logic_vector(7 downto 0)
10
        );
11
end rom;
12
 
13
architecture rtl of rom is
14
begin
15
 
16
process (Clk)
17
begin
18
 if Clk'event and Clk = '1' then
19
        case A is
20
             when x"000" => D <= x"31";
21
             when x"001" => D <= x"FE";
22
             when x"002" => D <= x"BF";
23
             when x"003" => D <= x"3A";
24
             when x"004" => D <= x"DF";
25
             when x"005" => D <= x"FF";
26
             when x"006" => D <= x"B7";
27
             when x"007" => D <= x"28";
28
             when x"008" => D <= x"05";
29
             when x"009" => D <= x"CD";
30
             when x"00A" => D <= x"A2";
31
             when x"00B" => D <= x"01";
32
             when x"00C" => D <= x"18";
33
             when x"00D" => D <= x"07";
34
             when x"00E" => D <= x"3E";
35
             when x"00F" => D <= x"F0";
36
             when x"010" => D <= x"D3";
37
             when x"011" => D <= x"01";
38
             when x"012" => D <= x"CD";
39
             when x"013" => D <= x"24";
40
             when x"014" => D <= x"02";
41
             when x"015" => D <= x"3E";
42
             when x"016" => D <= x"0F";
43
             when x"017" => D <= x"D3";
44
             when x"018" => D <= x"01";
45
             when x"019" => D <= x"CD";
46
             when x"01A" => D <= x"04";
47
             when x"01B" => D <= x"01";
48
             when x"01C" => D <= x"CD";
49
             when x"01D" => D <= x"18";
50
             when x"01E" => D <= x"02";
51
             when x"01F" => D <= x"11";
52
             when x"020" => D <= x"34";
53
             when x"021" => D <= x"40";
54
             when x"022" => D <= x"21";
55
             when x"023" => D <= x"2D";
56
             when x"024" => D <= x"02";
57
             when x"025" => D <= x"CD";
58
             when x"026" => D <= x"17";
59
             when x"027" => D <= x"01";
60
             when x"028" => D <= x"11";
61
             when x"029" => D <= x"5C";
62
             when x"02A" => D <= x"40";
63
             when x"02B" => D <= x"21";
64
             when x"02C" => D <= x"40";
65
             when x"02D" => D <= x"02";
66
             when x"02E" => D <= x"CD";
67
             when x"02F" => D <= x"17";
68
             when x"030" => D <= x"01";
69
             when x"031" => D <= x"CD";
70
             when x"032" => D <= x"22";
71
             when x"033" => D <= x"01";
72
             when x"034" => D <= x"11";
73
             when x"035" => D <= x"46";
74
             when x"036" => D <= x"41";
75
             when x"037" => D <= x"21";
76
             when x"038" => D <= x"53";
77
             when x"039" => D <= x"02";
78
             when x"03A" => D <= x"CD";
79
             when x"03B" => D <= x"17";
80
             when x"03C" => D <= x"01";
81
             when x"03D" => D <= x"11";
82
             when x"03E" => D <= x"96";
83
             when x"03F" => D <= x"41";
84
             when x"040" => D <= x"21";
85
             when x"041" => D <= x"6F";
86
             when x"042" => D <= x"02";
87
             when x"043" => D <= x"CD";
88
             when x"044" => D <= x"17";
89
             when x"045" => D <= x"01";
90
             when x"046" => D <= x"11";
91
             when x"047" => D <= x"E6";
92
             when x"048" => D <= x"41";
93
             when x"049" => D <= x"21";
94
             when x"04A" => D <= x"8C";
95
             when x"04B" => D <= x"02";
96
             when x"04C" => D <= x"CD";
97
             when x"04D" => D <= x"17";
98
             when x"04E" => D <= x"01";
99
             when x"04F" => D <= x"11";
100
             when x"050" => D <= x"36";
101
             when x"051" => D <= x"42";
102
             when x"052" => D <= x"21";
103
             when x"053" => D <= x"A9";
104
             when x"054" => D <= x"02";
105
             when x"055" => D <= x"CD";
106
             when x"056" => D <= x"17";
107
             when x"057" => D <= x"01";
108
             when x"058" => D <= x"11";
109
             when x"059" => D <= x"5E";
110
             when x"05A" => D <= x"42";
111
             when x"05B" => D <= x"21";
112
             when x"05C" => D <= x"BD";
113
             when x"05D" => D <= x"02";
114
             when x"05E" => D <= x"CD";
115
             when x"05F" => D <= x"17";
116
             when x"060" => D <= x"01";
117
             when x"061" => D <= x"21";
118
             when x"062" => D <= x"60";
119
             when x"063" => D <= x"03";
120
             when x"064" => D <= x"3A";
121
             when x"065" => D <= x"DF";
122
             when x"066" => D <= x"FF";
123
             when x"067" => D <= x"B7";
124
             when x"068" => D <= x"28";
125
             when x"069" => D <= x"03";
126
             when x"06A" => D <= x"21";
127
             when x"06B" => D <= x"6C";
128
             when x"06C" => D <= x"03";
129
             when x"06D" => D <= x"11";
130
             when x"06E" => D <= x"87";
131
             when x"06F" => D <= x"40";
132
             when x"070" => D <= x"CD";
133
             when x"071" => D <= x"17";
134
             when x"072" => D <= x"01";
135
             when x"073" => D <= x"11";
136
             when x"074" => D <= x"D6";
137
             when x"075" => D <= x"42";
138
             when x"076" => D <= x"01";
139
             when x"077" => D <= x"1E";
140
             when x"078" => D <= x"00";
141
             when x"079" => D <= x"C5";
142
             when x"07A" => D <= x"D5";
143
             when x"07B" => D <= x"CD";
144
             when x"07C" => D <= x"EF";
145
             when x"07D" => D <= x"00";
146
             when x"07E" => D <= x"CD";
147
             when x"07F" => D <= x"4C";
148
             when x"080" => D <= x"01";
149
             when x"081" => D <= x"3A";
150
             when x"082" => D <= x"DF";
151
             when x"083" => D <= x"FF";
152
             when x"084" => D <= x"B7";
153
             when x"085" => D <= x"28";
154
             when x"086" => D <= x"03";
155
             when x"087" => D <= x"CD";
156
             when x"088" => D <= x"7F";
157
             when x"089" => D <= x"01";
158
             when x"08A" => D <= x"D1";
159
             when x"08B" => D <= x"C1";
160
             when x"08C" => D <= x"CD";
161
             when x"08D" => D <= x"1F";
162
             when x"08E" => D <= x"01";
163
             when x"08F" => D <= x"B7";
164
             when x"090" => D <= x"28";
165
             when x"091" => D <= x"E7";
166
             when x"092" => D <= x"FE";
167
             when x"093" => D <= x"41";
168
             when x"094" => D <= x"28";
169
             when x"095" => D <= x"16";
170
             when x"096" => D <= x"D3";
171
             when x"097" => D <= x"01";
172
             when x"098" => D <= x"6F";
173
             when x"099" => D <= x"3A";
174
             when x"09A" => D <= x"DF";
175
             when x"09B" => D <= x"FF";
176
             when x"09C" => D <= x"B7";
177
             when x"09D" => D <= x"20";
178
             when x"09E" => D <= x"03";
179
             when x"09F" => D <= x"7D";
180
             when x"0A0" => D <= x"D3";
181
             when x"0A1" => D <= x"11";
182
             when x"0A2" => D <= x"7D";
183
             when x"0A3" => D <= x"12";
184
             when x"0A4" => D <= x"13";
185
             when x"0A5" => D <= x"0B";
186
             when x"0A6" => D <= x"78";
187
             when x"0A7" => D <= x"B1";
188
             when x"0A8" => D <= x"28";
189
             when x"0A9" => D <= x"C9";
190
             when x"0AA" => D <= x"18";
191
             when x"0AB" => D <= x"CD";
192
             when x"0AC" => D <= x"21";
193
             when x"0AD" => D <= x"00";
194
             when x"0AE" => D <= x"60";
195
             when x"0AF" => D <= x"3E";
196
             when x"0B0" => D <= x"00";
197
             when x"0B1" => D <= x"77";
198
             when x"0B2" => D <= x"23";
199
             when x"0B3" => D <= x"3C";
200
             when x"0B4" => D <= x"20";
201
             when x"0B5" => D <= x"FB";
202
             when x"0B6" => D <= x"CD";
203
             when x"0B7" => D <= x"DA";
204
             when x"0B8" => D <= x"00";
205
             when x"0B9" => D <= x"21";
206
             when x"0BA" => D <= x"00";
207
             when x"0BB" => D <= x"60";
208
             when x"0BC" => D <= x"3A";
209
             when x"0BD" => D <= x"DF";
210
             when x"0BE" => D <= x"FF";
211
             when x"0BF" => D <= x"B7";
212
             when x"0C0" => D <= x"20";
213
             when x"0C1" => D <= x"06";
214
             when x"0C2" => D <= x"7C";
215
             when x"0C3" => D <= x"D3";
216
             when x"0C4" => D <= x"11";
217
             when x"0C5" => D <= x"7D";
218
             when x"0C6" => D <= x"D3";
219
             when x"0C7" => D <= x"10";
220
             when x"0C8" => D <= x"7E";
221
             when x"0C9" => D <= x"D3";
222
             when x"0CA" => D <= x"01";
223
             when x"0CB" => D <= x"CD";
224
             when x"0CC" => D <= x"EF";
225
             when x"0CD" => D <= x"00";
226
             when x"0CE" => D <= x"23";
227
             when x"0CF" => D <= x"7E";
228
             when x"0D0" => D <= x"FE";
229
             when x"0D1" => D <= x"FF";
230
             when x"0D2" => D <= x"20";
231
             when x"0D3" => D <= x"F4";
232
             when x"0D4" => D <= x"CD";
233
             when x"0D5" => D <= x"DA";
234
             when x"0D6" => D <= x"00";
235
             when x"0D7" => D <= x"C3";
236
             when x"0D8" => D <= x"03";
237
             when x"0D9" => D <= x"00";
238
             when x"0DA" => D <= x"CD";
239
             when x"0DB" => D <= x"EF";
240
             when x"0DC" => D <= x"00";
241
             when x"0DD" => D <= x"DB";
242
             when x"0DE" => D <= x"30";
243
             when x"0DF" => D <= x"FE";
244
             when x"0E0" => D <= x"01";
245
             when x"0E1" => D <= x"20";
246
             when x"0E2" => D <= x"F7";
247
             when x"0E3" => D <= x"C9";
248
             when x"0E4" => D <= x"DB";
249
             when x"0E5" => D <= x"20";
250
             when x"0E6" => D <= x"B7";
251
             when x"0E7" => D <= x"20";
252
             when x"0E8" => D <= x"02";
253
             when x"0E9" => D <= x"3E";
254
             when x"0EA" => D <= x"01";
255
             when x"0EB" => D <= x"3D";
256
             when x"0EC" => D <= x"20";
257
             when x"0ED" => D <= x"FD";
258
             when x"0EE" => D <= x"C9";
259
             when x"0EF" => D <= x"DB";
260
             when x"0F0" => D <= x"20";
261
             when x"0F1" => D <= x"B7";
262
             when x"0F2" => D <= x"20";
263
             when x"0F3" => D <= x"02";
264
             when x"0F4" => D <= x"3E";
265
             when x"0F5" => D <= x"01";
266
             when x"0F6" => D <= x"F5";
267
             when x"0F7" => D <= x"01";
268
             when x"0F8" => D <= x"88";
269
             when x"0F9" => D <= x"13";
270
             when x"0FA" => D <= x"0B";
271
             when x"0FB" => D <= x"78";
272
             when x"0FC" => D <= x"B1";
273
             when x"0FD" => D <= x"20";
274
             when x"0FE" => D <= x"FB";
275
             when x"0FF" => D <= x"F1";
276
             when x"100" => D <= x"3D";
277
             when x"101" => D <= x"20";
278
             when x"102" => D <= x"F3";
279
             when x"103" => D <= x"C9";
280
             when x"104" => D <= x"3E";
281
             when x"105" => D <= x"00";
282
             when x"106" => D <= x"D3";
283
             when x"107" => D <= x"91";
284
             when x"108" => D <= x"D3";
285
             when x"109" => D <= x"92";
286
             when x"10A" => D <= x"01";
287
             when x"10B" => D <= x"B0";
288
             when x"10C" => D <= x"04";
289
             when x"10D" => D <= x"3E";
290
             when x"10E" => D <= x"20";
291
             when x"10F" => D <= x"D3";
292
             when x"110" => D <= x"90";
293
             when x"111" => D <= x"0B";
294
             when x"112" => D <= x"78";
295
             when x"113" => D <= x"B1";
296
             when x"114" => D <= x"20";
297
             when x"115" => D <= x"F7";
298
             when x"116" => D <= x"C9";
299
             when x"117" => D <= x"7E";
300
             when x"118" => D <= x"B7";
301
             when x"119" => D <= x"C8";
302
             when x"11A" => D <= x"12";
303
             when x"11B" => D <= x"23";
304
             when x"11C" => D <= x"13";
305
             when x"11D" => D <= x"18";
306
             when x"11E" => D <= x"F8";
307
             when x"11F" => D <= x"DB";
308
             when x"120" => D <= x"80";
309
             when x"121" => D <= x"C9";
310
             when x"122" => D <= x"21";
311
             when x"123" => D <= x"DC";
312
             when x"124" => D <= x"02";
313
             when x"125" => D <= x"11";
314
             when x"126" => D <= x"F5";
315
             when x"127" => D <= x"40";
316
             when x"128" => D <= x"CD";
317
             when x"129" => D <= x"17";
318
             when x"12A" => D <= x"01";
319
             when x"12B" => D <= x"11";
320
             when x"12C" => D <= x"1D";
321
             when x"12D" => D <= x"41";
322
             when x"12E" => D <= x"3E";
323
             when x"12F" => D <= x"0A";
324
             when x"130" => D <= x"21";
325
             when x"131" => D <= x"1E";
326
             when x"132" => D <= x"03";
327
             when x"133" => D <= x"E5";
328
             when x"134" => D <= x"F5";
329
             when x"135" => D <= x"D5";
330
             when x"136" => D <= x"CD";
331
             when x"137" => D <= x"17";
332
             when x"138" => D <= x"01";
333
             when x"139" => D <= x"D1";
334
             when x"13A" => D <= x"F1";
335
             when x"13B" => D <= x"21";
336
             when x"13C" => D <= x"28";
337
             when x"13D" => D <= x"00";
338
             when x"13E" => D <= x"19";
339
             when x"13F" => D <= x"54";
340
             when x"140" => D <= x"5D";
341
             when x"141" => D <= x"E1";
342
             when x"142" => D <= x"3D";
343
             when x"143" => D <= x"20";
344
             when x"144" => D <= x"EB";
345
             when x"145" => D <= x"21";
346
             when x"146" => D <= x"FD";
347
             when x"147" => D <= x"02";
348
             when x"148" => D <= x"CD";
349
             when x"149" => D <= x"17";
350
             when x"14A" => D <= x"01";
351
             when x"14B" => D <= x"C9";
352
             when x"14C" => D <= x"21";
353
             when x"14D" => D <= x"1E";
354
             when x"14E" => D <= x"41";
355
             when x"14F" => D <= x"11";
356
             when x"150" => D <= x"00";
357
             when x"151" => D <= x"B0";
358
             when x"152" => D <= x"01";
359
             when x"153" => D <= x"1E";
360
             when x"154" => D <= x"00";
361
             when x"155" => D <= x"ED";
362
             when x"156" => D <= x"B0";
363
             when x"157" => D <= x"21";
364
             when x"158" => D <= x"46";
365
             when x"159" => D <= x"41";
366
             when x"15A" => D <= x"11";
367
             when x"15B" => D <= x"1E";
368
             when x"15C" => D <= x"41";
369
             when x"15D" => D <= x"06";
370
             when x"15E" => D <= x"09";
371
             when x"15F" => D <= x"C5";
372
             when x"160" => D <= x"E5";
373
             when x"161" => D <= x"D5";
374
             when x"162" => D <= x"01";
375
             when x"163" => D <= x"1E";
376
             when x"164" => D <= x"00";
377
             when x"165" => D <= x"ED";
378
             when x"166" => D <= x"B0";
379
             when x"167" => D <= x"D1";
380
             when x"168" => D <= x"21";
381
             when x"169" => D <= x"28";
382
             when x"16A" => D <= x"00";
383
             when x"16B" => D <= x"19";
384
             when x"16C" => D <= x"54";
385
             when x"16D" => D <= x"5D";
386
             when x"16E" => D <= x"E1";
387
             when x"16F" => D <= x"01";
388
             when x"170" => D <= x"28";
389
             when x"171" => D <= x"00";
390
             when x"172" => D <= x"09";
391
             when x"173" => D <= x"C1";
392
             when x"174" => D <= x"10";
393
             when x"175" => D <= x"E9";
394
             when x"176" => D <= x"21";
395
             when x"177" => D <= x"00";
396
             when x"178" => D <= x"B0";
397
             when x"179" => D <= x"01";
398
             when x"17A" => D <= x"1E";
399
             when x"17B" => D <= x"00";
400
             when x"17C" => D <= x"ED";
401
             when x"17D" => D <= x"B0";
402
             when x"17E" => D <= x"C9";
403
             when x"17F" => D <= x"CD";
404
             when x"180" => D <= x"B9";
405
             when x"181" => D <= x"01";
406
             when x"182" => D <= x"FE";
407
             when x"183" => D <= x"01";
408
             when x"184" => D <= x"20";
409
             when x"185" => D <= x"07";
410
             when x"186" => D <= x"CD";
411
             when x"187" => D <= x"F4";
412
             when x"188" => D <= x"01";
413
             when x"189" => D <= x"3E";
414
             when x"18A" => D <= x"01";
415
             when x"18B" => D <= x"18";
416
             when x"18C" => D <= x"09";
417
             when x"18D" => D <= x"FE";
418
             when x"18E" => D <= x"02";
419
             when x"18F" => D <= x"20";
420
             when x"190" => D <= x"10";
421
             when x"191" => D <= x"CD";
422
             when x"192" => D <= x"D0";
423
             when x"193" => D <= x"01";
424
             when x"194" => D <= x"3E";
425
             when x"195" => D <= x"80";
426
             when x"196" => D <= x"D3";
427
             when x"197" => D <= x"01";
428
             when x"198" => D <= x"11";
429
             when x"199" => D <= x"E0";
430
             when x"19A" => D <= x"FF";
431
             when x"19B" => D <= x"21";
432
             when x"19C" => D <= x"00";
433
             when x"19D" => D <= x"91";
434
             when x"19E" => D <= x"CD";
435
             when x"19F" => D <= x"17";
436
             when x"1A0" => D <= x"01";
437
             when x"1A1" => D <= x"C9";
438
             when x"1A2" => D <= x"11";
439
             when x"1A3" => D <= x"00";
440
             when x"1A4" => D <= x"91";
441
             when x"1A5" => D <= x"21";
442
             when x"1A6" => D <= x"3F";
443
             when x"1A7" => D <= x"03";
444
             when x"1A8" => D <= x"01";
445
             when x"1A9" => D <= x"21";
446
             when x"1AA" => D <= x"00";
447
             when x"1AB" => D <= x"ED";
448
             when x"1AC" => D <= x"B0";
449
             when x"1AD" => D <= x"11";
450
             when x"1AE" => D <= x"E0";
451
             when x"1AF" => D <= x"FF";
452
             when x"1B0" => D <= x"21";
453
             when x"1B1" => D <= x"00";
454
             when x"1B2" => D <= x"91";
455
             when x"1B3" => D <= x"01";
456
             when x"1B4" => D <= x"20";
457
             when x"1B5" => D <= x"00";
458
             when x"1B6" => D <= x"ED";
459
             when x"1B7" => D <= x"B0";
460
             when x"1B8" => D <= x"C9";
461
             when x"1B9" => D <= x"DB";
462
             when x"1BA" => D <= x"70";
463
             when x"1BB" => D <= x"C9";
464
             when x"1BC" => D <= x"3A";
465
             when x"1BD" => D <= x"00";
466
             when x"1BE" => D <= x"92";
467
             when x"1BF" => D <= x"3D";
468
             when x"1C0" => D <= x"32";
469
             when x"1C1" => D <= x"00";
470
             when x"1C2" => D <= x"92";
471
             when x"1C3" => D <= x"C9";
472
             when x"1C4" => D <= x"3A";
473
             when x"1C5" => D <= x"01";
474
             when x"1C6" => D <= x"92";
475
             when x"1C7" => D <= x"3D";
476
             when x"1C8" => D <= x"20";
477
             when x"1C9" => D <= x"02";
478
             when x"1CA" => D <= x"3E";
479
             when x"1CB" => D <= x"10";
480
             when x"1CC" => D <= x"32";
481
             when x"1CD" => D <= x"01";
482
             when x"1CE" => D <= x"92";
483
             when x"1CF" => D <= x"C9";
484
             when x"1D0" => D <= x"3A";
485
             when x"1D1" => D <= x"00";
486
             when x"1D2" => D <= x"91";
487
             when x"1D3" => D <= x"32";
488
             when x"1D4" => D <= x"02";
489
             when x"1D5" => D <= x"92";
490
             when x"1D6" => D <= x"3A";
491
             when x"1D7" => D <= x"10";
492
             when x"1D8" => D <= x"91";
493
             when x"1D9" => D <= x"32";
494
             when x"1DA" => D <= x"03";
495
             when x"1DB" => D <= x"92";
496
             when x"1DC" => D <= x"21";
497
             when x"1DD" => D <= x"01";
498
             when x"1DE" => D <= x"91";
499
             when x"1DF" => D <= x"11";
500
             when x"1E0" => D <= x"00";
501
             when x"1E1" => D <= x"91";
502
             when x"1E2" => D <= x"01";
503
             when x"1E3" => D <= x"1F";
504
             when x"1E4" => D <= x"00";
505
             when x"1E5" => D <= x"ED";
506
             when x"1E6" => D <= x"B0";
507
             when x"1E7" => D <= x"3A";
508
             when x"1E8" => D <= x"02";
509
             when x"1E9" => D <= x"92";
510
             when x"1EA" => D <= x"32";
511
             when x"1EB" => D <= x"0F";
512
             when x"1EC" => D <= x"91";
513
             when x"1ED" => D <= x"3A";
514
             when x"1EE" => D <= x"03";
515
             when x"1EF" => D <= x"92";
516
             when x"1F0" => D <= x"32";
517
             when x"1F1" => D <= x"1F";
518
             when x"1F2" => D <= x"91";
519
             when x"1F3" => D <= x"C9";
520
             when x"1F4" => D <= x"3A";
521
             when x"1F5" => D <= x"0F";
522
             when x"1F6" => D <= x"91";
523
             when x"1F7" => D <= x"32";
524
             when x"1F8" => D <= x"02";
525
             when x"1F9" => D <= x"92";
526
             when x"1FA" => D <= x"3A";
527
             when x"1FB" => D <= x"1F";
528
             when x"1FC" => D <= x"91";
529
             when x"1FD" => D <= x"32";
530
             when x"1FE" => D <= x"03";
531
             when x"1FF" => D <= x"92";
532
             when x"200" => D <= x"21";
533
             when x"201" => D <= x"1E";
534
             when x"202" => D <= x"91";
535
             when x"203" => D <= x"11";
536
             when x"204" => D <= x"1F";
537
             when x"205" => D <= x"91";
538
             when x"206" => D <= x"01";
539
             when x"207" => D <= x"1F";
540
             when x"208" => D <= x"00";
541
             when x"209" => D <= x"ED";
542
             when x"20A" => D <= x"B8";
543
             when x"20B" => D <= x"3A";
544
             when x"20C" => D <= x"02";
545
             when x"20D" => D <= x"92";
546
             when x"20E" => D <= x"32";
547
             when x"20F" => D <= x"00";
548
             when x"210" => D <= x"91";
549
             when x"211" => D <= x"3A";
550
             when x"212" => D <= x"03";
551
             when x"213" => D <= x"92";
552
             when x"214" => D <= x"32";
553
             when x"215" => D <= x"10";
554
             when x"216" => D <= x"91";
555
             when x"217" => D <= x"C9";
556
             when x"218" => D <= x"21";
557
             when x"219" => D <= x"78";
558
             when x"21A" => D <= x"03";
559
             when x"21B" => D <= x"11";
560
             when x"21C" => D <= x"88";
561
             when x"21D" => D <= x"4E";
562
             when x"21E" => D <= x"01";
563
             when x"21F" => D <= x"08";
564
             when x"220" => D <= x"00";
565
             when x"221" => D <= x"ED";
566
             when x"222" => D <= x"B0";
567
             when x"223" => D <= x"C9";
568
             when x"224" => D <= x"3E";
569
             when x"225" => D <= x"20";
570
             when x"226" => D <= x"D3";
571
             when x"227" => D <= x"10";
572
             when x"228" => D <= x"3E";
573
             when x"229" => D <= x"10";
574
             when x"22A" => D <= x"D3";
575
             when x"22B" => D <= x"11";
576
             when x"22C" => D <= x"C9";
577
             when x"22D" => D <= x"5A";
578
             when x"22E" => D <= x"38";
579
             when x"22F" => D <= x"30";
580
             when x"230" => D <= x"20";
581
             when x"231" => D <= x"53";
582
             when x"232" => D <= x"59";
583
             when x"233" => D <= x"53";
584
             when x"234" => D <= x"54";
585
             when x"235" => D <= x"45";
586
             when x"236" => D <= x"4D";
587
             when x"237" => D <= x"20";
588
             when x"238" => D <= x"4F";
589
             when x"239" => D <= x"4E";
590
             when x"23A" => D <= x"20";
591
             when x"23B" => D <= x"43";
592
             when x"23C" => D <= x"48";
593
             when x"23D" => D <= x"49";
594
             when x"23E" => D <= x"50";
595
             when x"23F" => D <= x"00";
596
             when x"240" => D <= x"52";
597
             when x"241" => D <= x"4F";
598
             when x"242" => D <= x"4E";
599
             when x"243" => D <= x"49";
600
             when x"244" => D <= x"56";
601
             when x"245" => D <= x"4F";
602
             when x"246" => D <= x"4E";
603
             when x"247" => D <= x"20";
604
             when x"248" => D <= x"43";
605
             when x"249" => D <= x"4F";
606
             when x"24A" => D <= x"53";
607
             when x"24B" => D <= x"54";
608
             when x"24C" => D <= x"41";
609
             when x"24D" => D <= x"20";
610
             when x"24E" => D <= x"32";
611
             when x"24F" => D <= x"30";
612
             when x"250" => D <= x"31";
613
             when x"251" => D <= x"30";
614
             when x"252" => D <= x"00";
615
             when x"253" => D <= x"20";
616
             when x"254" => D <= x"20";
617
             when x"255" => D <= x"7C";
618
             when x"256" => D <= x"21";
619
             when x"257" => D <= x"23";
620
             when x"258" => D <= x"24";
621
             when x"259" => D <= x"25";
622
             when x"25A" => D <= x"26";
623
             when x"25B" => D <= x"2F";
624
             when x"25C" => D <= x"28";
625
             when x"25D" => D <= x"29";
626
             when x"25E" => D <= x"3D";
627
             when x"25F" => D <= x"3F";
628
             when x"260" => D <= x"2A";
629
             when x"261" => D <= x"60";
630
             when x"262" => D <= x"2B";
631
             when x"263" => D <= x"B4";
632
             when x"264" => D <= x"E7";
633
             when x"265" => D <= x"7E";
634
             when x"266" => D <= x"5E";
635
             when x"267" => D <= x"2C";
636
             when x"268" => D <= x"2E";
637
             when x"269" => D <= x"3B";
638
             when x"26A" => D <= x"3A";
639
             when x"26B" => D <= x"5C";
640
             when x"26C" => D <= x"3C";
641
             when x"26D" => D <= x"3E";
642
             when x"26E" => D <= x"00";
643
             when x"26F" => D <= x"20";
644
             when x"270" => D <= x"20";
645
             when x"271" => D <= x"41";
646
             when x"272" => D <= x"42";
647
             when x"273" => D <= x"43";
648
             when x"274" => D <= x"44";
649
             when x"275" => D <= x"45";
650
             when x"276" => D <= x"46";
651
             when x"277" => D <= x"47";
652
             when x"278" => D <= x"48";
653
             when x"279" => D <= x"49";
654
             when x"27A" => D <= x"4A";
655
             when x"27B" => D <= x"4B";
656
             when x"27C" => D <= x"4C";
657
             when x"27D" => D <= x"4D";
658
             when x"27E" => D <= x"4E";
659
             when x"27F" => D <= x"4F";
660
             when x"280" => D <= x"50";
661
             when x"281" => D <= x"51";
662
             when x"282" => D <= x"52";
663
             when x"283" => D <= x"53";
664
             when x"284" => D <= x"54";
665
             when x"285" => D <= x"55";
666
             when x"286" => D <= x"56";
667
             when x"287" => D <= x"57";
668
             when x"288" => D <= x"58";
669
             when x"289" => D <= x"59";
670
             when x"28A" => D <= x"5A";
671
             when x"28B" => D <= x"00";
672
             when x"28C" => D <= x"20";
673
             when x"28D" => D <= x"20";
674
             when x"28E" => D <= x"61";
675
             when x"28F" => D <= x"62";
676
             when x"290" => D <= x"63";
677
             when x"291" => D <= x"64";
678
             when x"292" => D <= x"65";
679
             when x"293" => D <= x"66";
680
             when x"294" => D <= x"67";
681
             when x"295" => D <= x"68";
682
             when x"296" => D <= x"69";
683
             when x"297" => D <= x"6A";
684
             when x"298" => D <= x"6B";
685
             when x"299" => D <= x"6C";
686
             when x"29A" => D <= x"6D";
687
             when x"29B" => D <= x"6E";
688
             when x"29C" => D <= x"6F";
689
             when x"29D" => D <= x"70";
690
             when x"29E" => D <= x"71";
691
             when x"29F" => D <= x"72";
692
             when x"2A0" => D <= x"73";
693
             when x"2A1" => D <= x"74";
694
             when x"2A2" => D <= x"75";
695
             when x"2A3" => D <= x"76";
696
             when x"2A4" => D <= x"77";
697
             when x"2A5" => D <= x"78";
698
             when x"2A6" => D <= x"79";
699
             when x"2A7" => D <= x"7A";
700
             when x"2A8" => D <= x"00";
701
             when x"2A9" => D <= x"20";
702
             when x"2AA" => D <= x"20";
703
             when x"2AB" => D <= x"20";
704
             when x"2AC" => D <= x"20";
705
             when x"2AD" => D <= x"20";
706
             when x"2AE" => D <= x"20";
707
             when x"2AF" => D <= x"20";
708
             when x"2B0" => D <= x"20";
709
             when x"2B1" => D <= x"20";
710
             when x"2B2" => D <= x"30";
711
             when x"2B3" => D <= x"31";
712
             when x"2B4" => D <= x"32";
713
             when x"2B5" => D <= x"33";
714
             when x"2B6" => D <= x"34";
715
             when x"2B7" => D <= x"35";
716
             when x"2B8" => D <= x"36";
717
             when x"2B9" => D <= x"37";
718
             when x"2BA" => D <= x"38";
719
             when x"2BB" => D <= x"39";
720
             when x"2BC" => D <= x"00";
721
             when x"2BD" => D <= x"02";
722
             when x"2BE" => D <= x"03";
723
             when x"2BF" => D <= x"04";
724
             when x"2C0" => D <= x"0B";
725
             when x"2C1" => D <= x"0C";
726
             when x"2C2" => D <= x"0D";
727
             when x"2C3" => D <= x"0E";
728
             when x"2C4" => D <= x"12";
729
             when x"2C5" => D <= x"18";
730
             when x"2C6" => D <= x"19";
731
             when x"2C7" => D <= x"1A";
732
             when x"2C8" => D <= x"1B";
733
             when x"2C9" => D <= x"E8";
734
             when x"2CA" => D <= x"E9";
735
             when x"2CB" => D <= x"EB";
736
             when x"2CC" => D <= x"BB";
737
             when x"2CD" => D <= x"BC";
738
             when x"2CE" => D <= x"8A";
739
             when x"2CF" => D <= x"86";
740
             when x"2D0" => D <= x"87";
741
             when x"2D1" => D <= x"81";
742
             when x"2D2" => D <= x"80";
743
             when x"2D3" => D <= x"01";
744
             when x"2D4" => D <= x"06";
745
             when x"2D5" => D <= x"07";
746
             when x"2D6" => D <= x"08";
747
             when x"2D7" => D <= x"09";
748
             when x"2D8" => D <= x"0A";
749
             when x"2D9" => D <= x"1D";
750
             when x"2DA" => D <= x"1F";
751
             when x"2DB" => D <= x"00";
752
             when x"2DC" => D <= x"96";
753
             when x"2DD" => D <= x"9A";
754
             when x"2DE" => D <= x"9A";
755
             when x"2DF" => D <= x"9A";
756
             when x"2E0" => D <= x"9A";
757
             when x"2E1" => D <= x"9A";
758
             when x"2E2" => D <= x"9A";
759
             when x"2E3" => D <= x"9A";
760
             when x"2E4" => D <= x"9A";
761
             when x"2E5" => D <= x"9A";
762
             when x"2E6" => D <= x"9A";
763
             when x"2E7" => D <= x"9A";
764
             when x"2E8" => D <= x"9A";
765
             when x"2E9" => D <= x"9A";
766
             when x"2EA" => D <= x"9A";
767
             when x"2EB" => D <= x"9A";
768
             when x"2EC" => D <= x"9A";
769
             when x"2ED" => D <= x"9A";
770
             when x"2EE" => D <= x"9A";
771
             when x"2EF" => D <= x"9A";
772
             when x"2F0" => D <= x"9A";
773
             when x"2F1" => D <= x"9A";
774
             when x"2F2" => D <= x"9A";
775
             when x"2F3" => D <= x"9A";
776
             when x"2F4" => D <= x"9A";
777
             when x"2F5" => D <= x"9A";
778
             when x"2F6" => D <= x"9A";
779
             when x"2F7" => D <= x"9A";
780
             when x"2F8" => D <= x"9A";
781
             when x"2F9" => D <= x"9A";
782
             when x"2FA" => D <= x"9A";
783
             when x"2FB" => D <= x"9C";
784
             when x"2FC" => D <= x"00";
785
             when x"2FD" => D <= x"93";
786
             when x"2FE" => D <= x"9A";
787
             when x"2FF" => D <= x"9A";
788
             when x"300" => D <= x"9A";
789
             when x"301" => D <= x"9A";
790
             when x"302" => D <= x"9A";
791
             when x"303" => D <= x"9A";
792
             when x"304" => D <= x"9A";
793
             when x"305" => D <= x"9A";
794
             when x"306" => D <= x"9A";
795
             when x"307" => D <= x"9A";
796
             when x"308" => D <= x"9A";
797
             when x"309" => D <= x"9A";
798
             when x"30A" => D <= x"9A";
799
             when x"30B" => D <= x"9A";
800
             when x"30C" => D <= x"9A";
801
             when x"30D" => D <= x"9A";
802
             when x"30E" => D <= x"9A";
803
             when x"30F" => D <= x"9A";
804
             when x"310" => D <= x"9A";
805
             when x"311" => D <= x"9A";
806
             when x"312" => D <= x"9A";
807
             when x"313" => D <= x"9A";
808
             when x"314" => D <= x"9A";
809
             when x"315" => D <= x"9A";
810
             when x"316" => D <= x"9A";
811
             when x"317" => D <= x"9A";
812
             when x"318" => D <= x"9A";
813
             when x"319" => D <= x"9A";
814
             when x"31A" => D <= x"9A";
815
             when x"31B" => D <= x"9A";
816
             when x"31C" => D <= x"99";
817
             when x"31D" => D <= x"00";
818
             when x"31E" => D <= x"95";
819
             when x"31F" => D <= x"20";
820
             when x"320" => D <= x"20";
821
             when x"321" => D <= x"20";
822
             when x"322" => D <= x"20";
823
             when x"323" => D <= x"20";
824
             when x"324" => D <= x"20";
825
             when x"325" => D <= x"20";
826
             when x"326" => D <= x"20";
827
             when x"327" => D <= x"20";
828
             when x"328" => D <= x"20";
829
             when x"329" => D <= x"20";
830
             when x"32A" => D <= x"20";
831
             when x"32B" => D <= x"20";
832
             when x"32C" => D <= x"20";
833
             when x"32D" => D <= x"20";
834
             when x"32E" => D <= x"20";
835
             when x"32F" => D <= x"20";
836
             when x"330" => D <= x"20";
837
             when x"331" => D <= x"20";
838
             when x"332" => D <= x"20";
839
             when x"333" => D <= x"20";
840
             when x"334" => D <= x"20";
841
             when x"335" => D <= x"20";
842
             when x"336" => D <= x"20";
843
             when x"337" => D <= x"20";
844
             when x"338" => D <= x"20";
845
             when x"339" => D <= x"20";
846
             when x"33A" => D <= x"20";
847
             when x"33B" => D <= x"20";
848
             when x"33C" => D <= x"20";
849
             when x"33D" => D <= x"95";
850
             when x"33E" => D <= x"00";
851
             when x"33F" => D <= x"20";
852
             when x"340" => D <= x"20";
853
             when x"341" => D <= x"20";
854
             when x"342" => D <= x"5A";
855
             when x"343" => D <= x"38";
856
             when x"344" => D <= x"30";
857
             when x"345" => D <= x"20";
858
             when x"346" => D <= x"53";
859
             when x"347" => D <= x"59";
860
             when x"348" => D <= x"53";
861
             when x"349" => D <= x"54";
862
             when x"34A" => D <= x"45";
863
             when x"34B" => D <= x"4D";
864
             when x"34C" => D <= x"20";
865
             when x"34D" => D <= x"20";
866
             when x"34E" => D <= x"20";
867
             when x"34F" => D <= x"20";
868
             when x"350" => D <= x"52";
869
             when x"351" => D <= x"4F";
870
             when x"352" => D <= x"4E";
871
             when x"353" => D <= x"49";
872
             when x"354" => D <= x"56";
873
             when x"355" => D <= x"4F";
874
             when x"356" => D <= x"4E";
875
             when x"357" => D <= x"20";
876
             when x"358" => D <= x"20";
877
             when x"359" => D <= x"43";
878
             when x"35A" => D <= x"4F";
879
             when x"35B" => D <= x"53";
880
             when x"35C" => D <= x"54";
881
             when x"35D" => D <= x"41";
882
             when x"35E" => D <= x"20";
883
             when x"35F" => D <= x"00";
884
             when x"360" => D <= x"44";
885
             when x"361" => D <= x"45";
886
             when x"362" => D <= x"31";
887
             when x"363" => D <= x"20";
888
             when x"364" => D <= x"56";
889
             when x"365" => D <= x"65";
890
             when x"366" => D <= x"72";
891
             when x"367" => D <= x"73";
892
             when x"368" => D <= x"69";
893
             when x"369" => D <= x"6F";
894
             when x"36A" => D <= x"6E";
895
             when x"36B" => D <= x"00";
896
             when x"36C" => D <= x"53";
897
             when x"36D" => D <= x"33";
898
             when x"36E" => D <= x"45";
899
             when x"36F" => D <= x"20";
900
             when x"370" => D <= x"56";
901
             when x"371" => D <= x"65";
902
             when x"372" => D <= x"72";
903
             when x"373" => D <= x"73";
904
             when x"374" => D <= x"69";
905
             when x"375" => D <= x"6F";
906
             when x"376" => D <= x"6E";
907
             when x"377" => D <= x"00";
908
             when x"378" => D <= x"FF";
909
             when x"379" => D <= x"81";
910
             when x"37A" => D <= x"99";
911
             when x"37B" => D <= x"A5";
912
             when x"37C" => D <= x"BD";
913
             when x"37D" => D <= x"A5";
914
             when x"37E" => D <= x"81";
915
             when x"37F" => D <= x"FF";
916
             when others => D <="ZZZZZZZZ";
917
        end case;
918
 end if;
919
end process;
920
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.