OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7/] [rom/] [test_rom.vhd] - Blame information for rev 34

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 rrred
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity rom is
6
        port(
7
                Clk             : in std_logic;
8
                A               : in std_logic_vector(11 downto 0);
9
                D               : out std_logic_vector(7 downto 0)
10
        );
11
end rom;
12
 
13
architecture rtl of rom is
14
begin
15
 
16
process (Clk)
17
begin
18
 if Clk'event and Clk = '1' then
19
        case A is
20
             when x"000" => D <= x"31";
21
             when x"001" => D <= x"FE";
22
             when x"002" => D <= x"BF";
23
             when x"003" => D <= x"CD";
24
             when x"004" => D <= x"69";
25
             when x"005" => D <= x"00";
26
             when x"006" => D <= x"21";
27
             when x"007" => D <= x"42";
28
             when x"008" => D <= x"41";
29
             when x"009" => D <= x"E5";
30
             when x"00A" => D <= x"D1";
31
             when x"00B" => D <= x"21";
32
             when x"00C" => D <= x"E0";
33
             when x"00D" => D <= x"FF";
34
             when x"00E" => D <= x"7A";
35
             when x"00F" => D <= x"77";
36
             when x"010" => D <= x"23";
37
             when x"011" => D <= x"7B";
38
             when x"012" => D <= x"77";
39
             when x"013" => D <= x"23";
40
             when x"014" => D <= x"3E";
41
             when x"015" => D <= x"43";
42
             when x"016" => D <= x"32";
43
             when x"017" => D <= x"00";
44
             when x"018" => D <= x"40";
45
             when x"019" => D <= x"3E";
46
             when x"01A" => D <= x"20";
47
             when x"01B" => D <= x"3A";
48
             when x"01C" => D <= x"00";
49
             when x"01D" => D <= x"40";
50
             when x"01E" => D <= x"77";
51
             when x"01F" => D <= x"23";
52
             when x"020" => D <= x"3E";
53
             when x"021" => D <= x"44";
54
             when x"022" => D <= x"32";
55
             when x"023" => D <= x"F7";
56
             when x"024" => D <= x"4C";
57
             when x"025" => D <= x"3E";
58
             when x"026" => D <= x"20";
59
             when x"027" => D <= x"3A";
60
             when x"028" => D <= x"7F";
61
             when x"029" => D <= x"4C";
62
             when x"02A" => D <= x"77";
63
             when x"02B" => D <= x"23";
64
             when x"02C" => D <= x"E5";
65
             when x"02D" => D <= x"11";
66
             when x"02E" => D <= x"88";
67
             when x"02F" => D <= x"4C";
68
             when x"030" => D <= x"21";
69
             when x"031" => D <= x"78";
70
             when x"032" => D <= x"00";
71
             when x"033" => D <= x"01";
72
             when x"034" => D <= x"08";
73
             when x"035" => D <= x"00";
74
             when x"036" => D <= x"ED";
75
             when x"037" => D <= x"B0";
76
             when x"038" => D <= x"3E";
77
             when x"039" => D <= x"01";
78
             when x"03A" => D <= x"32";
79
             when x"03B" => D <= x"13";
80
             when x"03C" => D <= x"40";
81
             when x"03D" => D <= x"E1";
82
             when x"03E" => D <= x"77";
83
             when x"03F" => D <= x"23";
84
             when x"040" => D <= x"3E";
85
             when x"041" => D <= x"45";
86
             when x"042" => D <= x"32";
87
             when x"043" => D <= x"00";
88
             when x"044" => D <= x"60";
89
             when x"045" => D <= x"3E";
90
             when x"046" => D <= x"20";
91
             when x"047" => D <= x"3A";
92
             when x"048" => D <= x"00";
93
             when x"049" => D <= x"60";
94
             when x"04A" => D <= x"77";
95
             when x"04B" => D <= x"23";
96
             when x"04C" => D <= x"3E";
97
             when x"04D" => D <= x"46";
98
             when x"04E" => D <= x"32";
99
             when x"04F" => D <= x"FF";
100
             when x"050" => D <= x"DF";
101
             when x"051" => D <= x"3E";
102
             when x"052" => D <= x"20";
103
             when x"053" => D <= x"3A";
104
             when x"054" => D <= x"FF";
105
             when x"055" => D <= x"DF";
106
             when x"056" => D <= x"77";
107
             when x"057" => D <= x"23";
108
             when x"058" => D <= x"3E";
109
             when x"059" => D <= x"47";
110
             when x"05A" => D <= x"77";
111
             when x"05B" => D <= x"23";
112
             when x"05C" => D <= x"3E";
113
             when x"05D" => D <= x"4B";
114
             when x"05E" => D <= x"32";
115
             when x"05F" => D <= x"16";
116
             when x"060" => D <= x"40";
117
             when x"061" => D <= x"77";
118
             when x"062" => D <= x"3E";
119
             when x"063" => D <= x"01";
120
             when x"064" => D <= x"D3";
121
             when x"065" => D <= x"01";
122
             when x"066" => D <= x"C3";
123
             when x"067" => D <= x"66";
124
             when x"068" => D <= x"00";
125
             when x"069" => D <= x"21";
126
             when x"06A" => D <= x"00";
127
             when x"06B" => D <= x"40";
128
             when x"06C" => D <= x"3E";
129
             when x"06D" => D <= x"20";
130
             when x"06E" => D <= x"77";
131
             when x"06F" => D <= x"11";
132
             when x"070" => D <= x"01";
133
             when x"071" => D <= x"40";
134
             when x"072" => D <= x"01";
135
             when x"073" => D <= x"7F";
136
             when x"074" => D <= x"0C";
137
             when x"075" => D <= x"ED";
138
             when x"076" => D <= x"B0";
139
             when x"077" => D <= x"C9";
140
             when x"078" => D <= x"7C";
141
             when x"079" => D <= x"C6";
142
             when x"07A" => D <= x"DE";
143
             when x"07B" => D <= x"DE";
144
             when x"07C" => D <= x"DE";
145
             when x"07D" => D <= x"C0";
146
             when x"07E" => D <= x"78";
147
             when x"07F" => D <= x"00";
148
             when others => D <="ZZZZZZZZ";
149
        end case;
150
 end if;
151
end process;
152
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.