OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.2/] [DE1/] [memoryCores/] [rom.vhd_conv] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 rrred
-- File generated by hex2romvhdl.sh
2
-- by Ronivon C. Costa - ronivon.costa@gmail.com
3
-- Mon Nov 22 00:03:17 WET 2010
4
--
5
library IEEE;
6
use IEEE.std_logic_1164.all;
7
 
8
entity rom is
9
        port(
10
                clock        : in std_logic;
11
                address      : in std_logic_vector(15 downto 0);
12
                q            : out std_logic_vector(7 downto 0)
13
        );
14
end rom;
15
 
16
architecture rtl of rom is
17
begin
18
 
19
process (clock)
20
begin
21
 if rising_edge (clock) then
22
        case address is
23
             when x"0000" => q <= x"21";
24
             when x"0001" => q <= x"00";
25
             when x"0002" => q <= x"60";
26
             when x"0003" => q <= x"3E";
27
             when x"0004" => q <= x"AA";
28
             when x"0005" => q <= x"23";
29
             when x"0006" => q <= x"77";
30
             when x"0007" => q <= x"BE";
31
             when x"0008" => q <= x"28";
32
             when x"0009" => q <= x"FB";
33
             when x"000A" => q <= x"2B";
34
             when x"000B" => q <= x"F9";
35
             when x"000C" => q <= x"CD";
36
             when x"000D" => q <= x"52";
37
             when x"000E" => q <= x"22";
38
             when x"000F" => q <= x"CD";
39
             when x"0010" => q <= x"77";
40
             when x"0011" => q <= x"0C";
41
             when x"0012" => q <= x"C3";
42
             when x"0013" => q <= x"01";
43
             when x"0014" => q <= x"02";
44
             when x"0200" => q <= x"C9";
45
             when x"0201" => q <= x"C9";
46
             when x"0202" => q <= x"21";
47
             when x"0203" => q <= x"00";
48
             when x"0204" => q <= x"00";
49
             when x"0205" => q <= x"E5";
50
             when x"0206" => q <= x"2E";
51
             when x"0207" => q <= x"00";
52
             when x"0208" => q <= x"E5";
53
             when x"0209" => q <= x"CD";
54
             when x"020A" => q <= x"A2";
55
             when x"020B" => q <= x"0D";
56
             when x"020C" => q <= x"F1";
57
             when x"020D" => q <= x"F1";
58
             when x"020E" => q <= x"01";
59
             when x"020F" => q <= x"C0";
60
             when x"0210" => q <= x"12";
61
             when x"0211" => q <= x"C5";
62
             when x"0212" => q <= x"3E";
63
             when x"0213" => q <= x"20";
64
             when x"0214" => q <= x"F5";
65
             when x"0215" => q <= x"33";
66
             when x"0216" => q <= x"CD";
67
             when x"0217" => q <= x"BB";
68
             when x"0218" => q <= x"0D";
69
             when x"0219" => q <= x"33";
70
             when x"021A" => q <= x"C1";
71
             when x"021B" => q <= x"0B";
72
             when x"021C" => q <= x"79";
73
             when x"021D" => q <= x"B0";
74
             when x"021E" => q <= x"20";
75
             when x"021F" => q <= x"F1";
76
             when x"0220" => q <= x"C9";
77
             when x"0221" => q <= x"DD";
78
             when x"0222" => q <= x"E5";
79
             when x"0223" => q <= x"DD";
80
             when x"0224" => q <= x"21";
81
             when x"0225" => q <= x"00";
82
             when x"0226" => q <= x"00";
83
             when x"0227" => q <= x"DD";
84
             when x"0228" => q <= x"39";
85
             when x"0229" => q <= x"DD";
86
             when x"022A" => q <= x"4E";
87
             when x"022B" => q <= x"04";
88
             when x"022C" => q <= x"DD";
89
             when x"022D" => q <= x"46";
90
             when x"022E" => q <= x"05";
91
             when x"022F" => q <= x"3E";
92
             when x"0230" => q <= x"00";
93
             when x"0231" => q <= x"91";
94
             when x"0232" => q <= x"3E";
95
             when x"0233" => q <= x"00";
96
             when x"0234" => q <= x"98";
97
             when x"0235" => q <= x"E2";
98
             when x"0236" => q <= x"3A";
99
             when x"0237" => q <= x"02";
100
             when x"0238" => q <= x"EE";
101
             when x"0239" => q <= x"80";
102
             when x"023A" => q <= x"F2";
103
             when x"023B" => q <= x"40";
104
             when x"023C" => q <= x"02";
105
             when x"023D" => q <= x"0B";
106
             when x"023E" => q <= x"18";
107
             when x"023F" => q <= x"EF";
108
             when x"0240" => q <= x"DD";
109
             when x"0241" => q <= x"E1";
110
             when x"0242" => q <= x"C9";
111
             when x"0243" => q <= x"DD";
112
             when x"0244" => q <= x"E5";
113
             when x"0245" => q <= x"DD";
114
             when x"0246" => q <= x"21";
115
             when x"0247" => q <= x"00";
116
             when x"0248" => q <= x"00";
117
             when x"0249" => q <= x"DD";
118
             when x"024A" => q <= x"39";
119
             when x"024B" => q <= x"21";
120
             when x"024C" => q <= x"3A";
121
             when x"024D" => q <= x"00";
122
             when x"024E" => q <= x"E5";
123
             when x"024F" => q <= x"DD";
124
             when x"0250" => q <= x"6E";
125
             when x"0251" => q <= x"04";
126
             when x"0252" => q <= x"DD";
127
             when x"0253" => q <= x"66";
128
             when x"0254" => q <= x"05";
129
             when x"0255" => q <= x"E5";
130
             when x"0256" => q <= x"CD";
131
             when x"0257" => q <= x"A2";
132
             when x"0258" => q <= x"0D";
133
             when x"0259" => q <= x"F1";
134
             when x"025A" => q <= x"26";
135
             when x"025B" => q <= x"01";
136
             when x"025C" => q <= x"E3";
137
             when x"025D" => q <= x"33";
138
             when x"025E" => q <= x"CD";
139
             when x"025F" => q <= x"BB";
140
             when x"0260" => q <= x"0D";
141
             when x"0261" => q <= x"33";
142
             when x"0262" => q <= x"3E";
143
             when x"0263" => q <= x"02";
144
             when x"0264" => q <= x"F5";
145
             when x"0265" => q <= x"33";
146
             when x"0266" => q <= x"CD";
147
             when x"0267" => q <= x"BB";
148
             when x"0268" => q <= x"0D";
149
             when x"0269" => q <= x"33";
150
             when x"026A" => q <= x"21";
151
             when x"026B" => q <= x"3B";
152
             when x"026C" => q <= x"00";
153
             when x"026D" => q <= x"E5";
154
             when x"026E" => q <= x"DD";
155
             when x"026F" => q <= x"6E";
156
             when x"0270" => q <= x"04";
157
             when x"0271" => q <= x"DD";
158
             when x"0272" => q <= x"66";
159
             when x"0273" => q <= x"05";
160
             when x"0274" => q <= x"E5";
161
             when x"0275" => q <= x"CD";
162
             when x"0276" => q <= x"A2";
163
             when x"0277" => q <= x"0D";
164
             when x"0278" => q <= x"F1";
165
             when x"0279" => q <= x"26";
166
             when x"027A" => q <= x"03";
167
             when x"027B" => q <= x"E3";
168
             when x"027C" => q <= x"33";
169
             when x"027D" => q <= x"CD";
170
             when x"027E" => q <= x"BB";
171
             when x"027F" => q <= x"0D";
172
             when x"0280" => q <= x"33";
173
             when x"0281" => q <= x"3E";
174
             when x"0282" => q <= x"04";
175
             when x"0283" => q <= x"F5";
176
             when x"0284" => q <= x"33";
177
             when x"0285" => q <= x"CD";
178
             when x"0286" => q <= x"BB";
179
             when x"0287" => q <= x"0D";
180
             when x"0288" => q <= x"33";
181
             when x"0289" => q <= x"DD";
182
             when x"028A" => q <= x"E1";
183
             when x"028B" => q <= x"C9";
184
             when x"028C" => q <= x"DD";
185
             when x"028D" => q <= x"E5";
186
             when x"028E" => q <= x"DD";
187
             when x"028F" => q <= x"21";
188
             when x"0290" => q <= x"00";
189
             when x"0291" => q <= x"00";
190
             when x"0292" => q <= x"DD";
191
             when x"0293" => q <= x"39";
192
             when x"0294" => q <= x"DD";
193
             when x"0295" => q <= x"4E";
194
             when x"0296" => q <= x"06";
195
             when x"0297" => q <= x"06";
196
             when x"0298" => q <= x"00";
197
             when x"0299" => q <= x"DD";
198
             when x"029A" => q <= x"5E";
199
             when x"029B" => q <= x"05";
200
             when x"029C" => q <= x"16";
201
             when x"029D" => q <= x"00";
202
             when x"029E" => q <= x"C5";
203
             when x"029F" => q <= x"D5";
204
             when x"02A0" => q <= x"C5";
205
             when x"02A1" => q <= x"D5";
206
             when x"02A2" => q <= x"CD";
207
             when x"02A3" => q <= x"A2";
208
             when x"02A4" => q <= x"0D";
209
             when x"02A5" => q <= x"F1";
210
             when x"02A6" => q <= x"26";
211
             when x"02A7" => q <= x"2F";
212
             when x"02A8" => q <= x"E3";
213
             when x"02A9" => q <= x"33";
214
             when x"02AA" => q <= x"CD";
215
             when x"02AB" => q <= x"BB";
216
             when x"02AC" => q <= x"0D";
217
             when x"02AD" => q <= x"33";
218
             when x"02AE" => q <= x"3E";
219
             when x"02AF" => q <= x"5C";
220
             when x"02B0" => q <= x"F5";
221
             when x"02B1" => q <= x"33";
222
             when x"02B2" => q <= x"CD";
223
             when x"02B3" => q <= x"BB";
224
             when x"02B4" => q <= x"0D";
225
             when x"02B5" => q <= x"33";
226
             when x"02B6" => q <= x"D1";
227
             when x"02B7" => q <= x"C1";
228
             when x"02B8" => q <= x"03";
229
             when x"02B9" => q <= x"C5";
230
             when x"02BA" => q <= x"D5";
231
             when x"02BB" => q <= x"CD";
232
             when x"02BC" => q <= x"A2";
233
             when x"02BD" => q <= x"0D";
234
             when x"02BE" => q <= x"F1";
235
             when x"02BF" => q <= x"26";
236
             when x"02C0" => q <= x"5C";
237
             when x"02C1" => q <= x"E3";
238
             when x"02C2" => q <= x"33";
239
             when x"02C3" => q <= x"CD";
240
             when x"02C4" => q <= x"BB";
241
             when x"02C5" => q <= x"0D";
242
             when x"02C6" => q <= x"33";
243
             when x"02C7" => q <= x"3E";
244
             when x"02C8" => q <= x"2F";
245
             when x"02C9" => q <= x"F5";
246
             when x"02CA" => q <= x"33";
247
             when x"02CB" => q <= x"CD";
248
             when x"02CC" => q <= x"BB";
249
             when x"02CD" => q <= x"0D";
250
             when x"02CE" => q <= x"33";
251
             when x"02CF" => q <= x"DD";
252
             when x"02D0" => q <= x"E1";
253
             when x"02D1" => q <= x"C9";
254
             when x"02D2" => q <= x"21";
255
             when x"02D3" => q <= x"00";
256
             when x"02D4" => q <= x"00";
257
             when x"02D5" => q <= x"E5";
258
             when x"02D6" => q <= x"2E";
259
             when x"02D7" => q <= x"15";
260
             when x"02D8" => q <= x"E5";
261
             when x"02D9" => q <= x"CD";
262
             when x"02DA" => q <= x"A2";
263
             when x"02DB" => q <= x"0D";
264
             when x"02DC" => q <= x"F1";
265
             when x"02DD" => q <= x"21";
266
             when x"02DE" => q <= x"E6";
267
             when x"02DF" => q <= x"02";
268
             when x"02E0" => q <= x"E3";
269
             when x"02E1" => q <= x"CD";
270
             when x"02E2" => q <= x"35";
271
             when x"02E3" => q <= x"14";
272
             when x"02E4" => q <= x"F1";
273
             when x"02E5" => q <= x"C9";
274
             when x"02E6" => q <= x"2A";
275
             when x"02E7" => q <= x"2A";
276
             when x"02E8" => q <= x"2A";
277
             when x"02E9" => q <= x"2A";
278
             when x"02EA" => q <= x"20";
279
             when x"02EB" => q <= x"5A";
280
             when x"02EC" => q <= x"38";
281
             when x"02ED" => q <= x"30";
282
             when x"02EE" => q <= x"53";
283
             when x"02EF" => q <= x"6F";
284
             when x"02F0" => q <= x"43";
285
             when x"02F1" => q <= x"20";
286
             when x"02F2" => q <= x"53";
287
             when x"02F3" => q <= x"70";
288
             when x"02F4" => q <= x"61";
289
             when x"02F5" => q <= x"63";
290
             when x"02F6" => q <= x"65";
291
             when x"02F7" => q <= x"20";
292
             when x"02F8" => q <= x"49";
293
             when x"02F9" => q <= x"6E";
294
             when x"02FA" => q <= x"76";
295
             when x"02FB" => q <= x"61";
296
             when x"02FC" => q <= x"64";
297
             when x"02FD" => q <= x"65";
298
             when x"02FE" => q <= x"72";
299
             when x"02FF" => q <= x"73";
300
             when x"0300" => q <= x"20";
301
             when x"0301" => q <= x"52";
302
             when x"0302" => q <= x"65";
303
             when x"0303" => q <= x"62";
304
             when x"0304" => q <= x"75";
305
             when x"0305" => q <= x"69";
306
             when x"0306" => q <= x"6C";
307
             when x"0307" => q <= x"64";
308
             when x"0308" => q <= x"20";
309
             when x"0309" => q <= x"2A";
310
             when x"030A" => q <= x"2A";
311
             when x"030B" => q <= x"2A";
312
             when x"030C" => q <= x"2A";
313
             when x"030D" => q <= x"00";
314
             when x"030E" => q <= x"DD";
315
             when x"030F" => q <= x"E5";
316
             when x"0310" => q <= x"DD";
317
             when x"0311" => q <= x"21";
318
             when x"0312" => q <= x"00";
319
             when x"0313" => q <= x"00";
320
             when x"0314" => q <= x"DD";
321
             when x"0315" => q <= x"39";
322
             when x"0316" => q <= x"21";
323
             when x"0317" => q <= x"02";
324
             when x"0318" => q <= x"00";
325
             when x"0319" => q <= x"E5";
326
             when x"031A" => q <= x"2E";
327
             when x"031B" => q <= x"00";
328
             when x"031C" => q <= x"E5";
329
             when x"031D" => q <= x"CD";
330
             when x"031E" => q <= x"A2";
331
             when x"031F" => q <= x"0D";
332
             when x"0320" => q <= x"F1";
333
             when x"0321" => q <= x"F1";
334
             when x"0322" => q <= x"DD";
335
             when x"0323" => q <= x"6E";
336
             when x"0324" => q <= x"04";
337
             when x"0325" => q <= x"DD";
338
             when x"0326" => q <= x"66";
339
             when x"0327" => q <= x"05";
340
             when x"0328" => q <= x"E5";
341
             when x"0329" => q <= x"21";
342
             when x"032A" => q <= x"35";
343
             when x"032B" => q <= x"03";
344
             when x"032C" => q <= x"E5";
345
             when x"032D" => q <= x"CD";
346
             when x"032E" => q <= x"35";
347
             when x"032F" => q <= x"14";
348
             when x"0330" => q <= x"F1";
349
             when x"0331" => q <= x"F1";
350
             when x"0332" => q <= x"DD";
351
             when x"0333" => q <= x"E1";
352
             when x"0334" => q <= x"C9";
353
             when x"0335" => q <= x"53";
354
             when x"0336" => q <= x"63";
355
             when x"0337" => q <= x"6F";
356
             when x"0338" => q <= x"72";
357
             when x"0339" => q <= x"65";
358
             when x"033A" => q <= x"3A";
359
             when x"033B" => q <= x"25";
360
             when x"033C" => q <= x"75";
361
             when x"033D" => q <= x"00";
362
             when x"033E" => q <= x"DD";
363
             when x"033F" => q <= x"E5";
364
             when x"0340" => q <= x"DD";
365
             when x"0341" => q <= x"21";
366
             when x"0342" => q <= x"00";
367
             when x"0343" => q <= x"00";
368
             when x"0344" => q <= x"DD";
369
             when x"0345" => q <= x"39";
370
             when x"0346" => q <= x"21";
371
             when x"0347" => q <= x"DD";
372
             when x"0348" => q <= x"FF";
373
             when x"0349" => q <= x"39";
374
             when x"034A" => q <= x"F9";
375
             when x"034B" => q <= x"21";
376
             when x"034C" => q <= x"01";
377
             when x"034D" => q <= x"00";
378
             when x"034E" => q <= x"39";
379
             when x"034F" => q <= x"4D";
380
             when x"0350" => q <= x"44";
381
             when x"0351" => q <= x"36";
382
             when x"0352" => q <= x"01";
383
             when x"0353" => q <= x"59";
384
             when x"0354" => q <= x"50";
385
             when x"0355" => q <= x"13";
386
             when x"0356" => q <= x"3E";
387
             when x"0357" => q <= x"01";
388
             when x"0358" => q <= x"12";
389
             when x"0359" => q <= x"59";
390
             when x"035A" => q <= x"50";
391
             when x"035B" => q <= x"13";
392
             when x"035C" => q <= x"13";
393
             when x"035D" => q <= x"3E";
394
             when x"035E" => q <= x"03";
395
             when x"035F" => q <= x"12";
396
             when x"0360" => q <= x"21";
397
             when x"0361" => q <= x"03";
398
             when x"0362" => q <= x"00";
399
             when x"0363" => q <= x"09";
400
             when x"0364" => q <= x"EB";
401
             when x"0365" => q <= x"3E";
402
             when x"0366" => q <= x"13";
403
             when x"0367" => q <= x"12";
404
             when x"0368" => q <= x"21";
405
             when x"0369" => q <= x"04";
406
             when x"036A" => q <= x"00";
407
             when x"036B" => q <= x"09";
408
             when x"036C" => q <= x"EB";
409
             when x"036D" => q <= x"3E";
410
             when x"036E" => q <= x"13";
411
             when x"036F" => q <= x"12";
412
             when x"0370" => q <= x"21";
413
             when x"0371" => q <= x"05";
414
             when x"0372" => q <= x"00";
415
             when x"0373" => q <= x"09";
416
             when x"0374" => q <= x"EB";
417
             when x"0375" => q <= x"3E";
418
             when x"0376" => q <= x"97";
419
             when x"0377" => q <= x"12";
420
             when x"0378" => q <= x"21";
421
             when x"0379" => q <= x"06";
422
             when x"037A" => q <= x"00";
423
             when x"037B" => q <= x"09";
424
             when x"037C" => q <= x"EB";
425
             when x"037D" => q <= x"3E";
426
             when x"037E" => q <= x"97";
427
             when x"037F" => q <= x"12";
428
             when x"0380" => q <= x"21";
429
             when x"0381" => q <= x"07";
430
             when x"0382" => q <= x"00";
431
             when x"0383" => q <= x"09";
432
             when x"0384" => q <= x"EB";
433
             when x"0385" => q <= x"3E";
434
             when x"0386" => q <= x"9E";
435
             when x"0387" => q <= x"12";
436
             when x"0388" => q <= x"21";
437
             when x"0389" => q <= x"08";
438
             when x"038A" => q <= x"00";
439
             when x"038B" => q <= x"09";
440
             when x"038C" => q <= x"EB";
441
             when x"038D" => q <= x"3E";
442
             when x"038E" => q <= x"80";
443
             when x"038F" => q <= x"12";
444
             when x"0390" => q <= x"21";
445
             when x"0391" => q <= x"09";
446
             when x"0392" => q <= x"00";
447
             when x"0393" => q <= x"09";
448
             when x"0394" => q <= x"EB";
449
             when x"0395" => q <= x"3E";
450
             when x"0396" => q <= x"80";
451
             when x"0397" => q <= x"12";
452
             when x"0398" => q <= x"21";
453
             when x"0399" => q <= x"0A";
454
             when x"039A" => q <= x"00";
455
             when x"039B" => q <= x"09";
456
             when x"039C" => q <= x"EB";
457
             when x"039D" => q <= x"3E";
458
             when x"039E" => q <= x"C0";
459
             when x"039F" => q <= x"12";
460
             when x"03A0" => q <= x"21";
461
             when x"03A1" => q <= x"0B";
462
             when x"03A2" => q <= x"00";
463
             when x"03A3" => q <= x"09";
464
             when x"03A4" => q <= x"EB";
465
             when x"03A5" => q <= x"3E";
466
             when x"03A6" => q <= x"C8";
467
             when x"03A7" => q <= x"12";
468
             when x"03A8" => q <= x"21";
469
             when x"03A9" => q <= x"0C";
470
             when x"03AA" => q <= x"00";
471
             when x"03AB" => q <= x"09";
472
             when x"03AC" => q <= x"EB";
473
             when x"03AD" => q <= x"3E";
474
             when x"03AE" => q <= x"C8";
475
             when x"03AF" => q <= x"12";
476
             when x"03B0" => q <= x"21";
477
             when x"03B1" => q <= x"0D";
478
             when x"03B2" => q <= x"00";
479
             when x"03B3" => q <= x"09";
480
             when x"03B4" => q <= x"EB";
481
             when x"03B5" => q <= x"3E";
482
             when x"03B6" => q <= x"E9";
483
             when x"03B7" => q <= x"12";
484
             when x"03B8" => q <= x"21";
485
             when x"03B9" => q <= x"0E";
486
             when x"03BA" => q <= x"00";
487
             when x"03BB" => q <= x"09";
488
             when x"03BC" => q <= x"EB";
489
             when x"03BD" => q <= x"3E";
490
             when x"03BE" => q <= x"E9";
491
             when x"03BF" => q <= x"12";
492
             when x"03C0" => q <= x"21";
493
             when x"03C1" => q <= x"0F";
494
             when x"03C2" => q <= x"00";
495
             when x"03C3" => q <= x"09";
496
             when x"03C4" => q <= x"EB";
497
             when x"03C5" => q <= x"3E";
498
             when x"03C6" => q <= x"79";
499
             when x"03C7" => q <= x"12";
500
             when x"03C8" => q <= x"21";
501
             when x"03C9" => q <= x"10";
502
             when x"03CA" => q <= x"00";
503
             when x"03CB" => q <= x"09";
504
             when x"03CC" => q <= x"EB";
505
             when x"03CD" => q <= x"3E";
506
             when x"03CE" => q <= x"BC";
507
             when x"03CF" => q <= x"12";
508
             when x"03D0" => q <= x"21";
509
             when x"03D1" => q <= x"11";
510
             when x"03D2" => q <= x"00";
511
             when x"03D3" => q <= x"09";
512
             when x"03D4" => q <= x"EB";
513
             when x"03D5" => q <= x"3E";
514
             when x"03D6" => q <= x"BD";
515
             when x"03D7" => q <= x"12";
516
             when x"03D8" => q <= x"21";
517
             when x"03D9" => q <= x"12";
518
             when x"03DA" => q <= x"00";
519
             when x"03DB" => q <= x"09";
520
             when x"03DC" => q <= x"EB";
521
             when x"03DD" => q <= x"3E";
522
             when x"03DE" => q <= x"FF";
523
             when x"03DF" => q <= x"12";
524
             when x"03E0" => q <= x"21";
525
             when x"03E1" => q <= x"13";
526
             when x"03E2" => q <= x"00";
527
             when x"03E3" => q <= x"09";
528
             when x"03E4" => q <= x"EB";
529
             when x"03E5" => q <= x"3E";
530
             when x"03E6" => q <= x"FF";
531
             when x"03E7" => q <= x"12";
532
             when x"03E8" => q <= x"21";
533
             when x"03E9" => q <= x"14";
534
             when x"03EA" => q <= x"00";
535
             when x"03EB" => q <= x"09";
536
             when x"03EC" => q <= x"EB";
537
             when x"03ED" => q <= x"3E";
538
             when x"03EE" => q <= x"FB";
539
             when x"03EF" => q <= x"12";
540
             when x"03F0" => q <= x"21";
541
             when x"03F1" => q <= x"15";
542
             when x"03F2" => q <= x"00";
543
             when x"03F3" => q <= x"09";
544
             when x"03F4" => q <= x"EB";
545
             when x"03F5" => q <= x"3E";
546
             when x"03F6" => q <= x"F3";
547
             when x"03F7" => q <= x"12";
548
             when x"03F8" => q <= x"21";
549
             when x"03F9" => q <= x"16";
550
             when x"03FA" => q <= x"00";
551
             when x"03FB" => q <= x"09";
552
             when x"03FC" => q <= x"EB";
553
             when x"03FD" => q <= x"3E";
554
             when x"03FE" => q <= x"E1";
555
             when x"03FF" => q <= x"12";
556
             when x"0400" => q <= x"21";
557
             when x"0401" => q <= x"17";
558
             when x"0402" => q <= x"00";
559
             when x"0403" => q <= x"09";
560
             when x"0404" => q <= x"EB";
561
             when x"0405" => q <= x"3E";
562
             when x"0406" => q <= x"C1";
563
             when x"0407" => q <= x"12";
564
             when x"0408" => q <= x"21";
565
             when x"0409" => q <= x"18";
566
             when x"040A" => q <= x"00";
567
             when x"040B" => q <= x"09";
568
             when x"040C" => q <= x"EB";
569
             when x"040D" => q <= x"3E";
570
             when x"040E" => q <= x"3D";
571
             when x"040F" => q <= x"12";
572
             when x"0410" => q <= x"21";
573
             when x"0411" => q <= x"19";
574
             when x"0412" => q <= x"00";
575
             when x"0413" => q <= x"09";
576
             when x"0414" => q <= x"EB";
577
             when x"0415" => q <= x"3E";
578
             when x"0416" => q <= x"BD";
579
             when x"0417" => q <= x"12";
580
             when x"0418" => q <= x"21";
581
             when x"0419" => q <= x"1A";
582
             when x"041A" => q <= x"00";
583
             when x"041B" => q <= x"09";
584
             when x"041C" => q <= x"EB";
585
             when x"041D" => q <= x"3E";
586
             when x"041E" => q <= x"FF";
587
             when x"041F" => q <= x"12";
588
             when x"0420" => q <= x"21";
589
             when x"0421" => q <= x"1B";
590
             when x"0422" => q <= x"00";
591
             when x"0423" => q <= x"09";
592
             when x"0424" => q <= x"EB";
593
             when x"0425" => q <= x"3E";
594
             when x"0426" => q <= x"FF";
595
             when x"0427" => q <= x"12";
596
             when x"0428" => q <= x"21";
597
             when x"0429" => q <= x"1C";
598
             when x"042A" => q <= x"00";
599
             when x"042B" => q <= x"09";
600
             when x"042C" => q <= x"EB";
601
             when x"042D" => q <= x"3E";
602
             when x"042E" => q <= x"DF";
603
             when x"042F" => q <= x"12";
604
             when x"0430" => q <= x"21";
605
             when x"0431" => q <= x"1D";
606
             when x"0432" => q <= x"00";
607
             when x"0433" => q <= x"09";
608
             when x"0434" => q <= x"EB";
609
             when x"0435" => q <= x"3E";
610
             when x"0436" => q <= x"CF";
611
             when x"0437" => q <= x"12";
612
             when x"0438" => q <= x"21";
613
             when x"0439" => q <= x"1E";
614
             when x"043A" => q <= x"00";
615
             when x"043B" => q <= x"09";
616
             when x"043C" => q <= x"EB";
617
             when x"043D" => q <= x"3E";
618
             when x"043E" => q <= x"87";
619
             when x"043F" => q <= x"12";
620
             when x"0440" => q <= x"21";
621
             when x"0441" => q <= x"1F";
622
             when x"0442" => q <= x"00";
623
             when x"0443" => q <= x"09";
624
             when x"0444" => q <= x"EB";
625
             when x"0445" => q <= x"3E";
626
             when x"0446" => q <= x"83";
627
             when x"0447" => q <= x"12";
628
             when x"0448" => q <= x"DD";
629
             when x"0449" => q <= x"36";
630
             when x"044A" => q <= x"FE";
631
             when x"044B" => q <= x"00";
632
             when x"044C" => q <= x"DD";
633
             when x"044D" => q <= x"36";
634
             when x"044E" => q <= x"FF";
635
             when x"044F" => q <= x"00";
636
             when x"0450" => q <= x"DD";
637
             when x"0451" => q <= x"7E";
638
             when x"0452" => q <= x"FE";
639
             when x"0453" => q <= x"D6";
640
             when x"0454" => q <= x"20";
641
             when x"0455" => q <= x"DD";
642
             when x"0456" => q <= x"7E";
643
             when x"0457" => q <= x"FF";
644
             when x"0458" => q <= x"DE";
645
             when x"0459" => q <= x"00";
646
             when x"045A" => q <= x"E2";
647
             when x"045B" => q <= x"5F";
648
             when x"045C" => q <= x"04";
649
             when x"045D" => q <= x"EE";
650
             when x"045E" => q <= x"80";
651
             when x"045F" => q <= x"F2";
652
             when x"0460" => q <= x"93";
653
             when x"0461" => q <= x"04";
654
             when x"0462" => q <= x"79";
655
             when x"0463" => q <= x"DD";
656
             when x"0464" => q <= x"86";
657
             when x"0465" => q <= x"FE";
658
             when x"0466" => q <= x"5F";
659
             when x"0467" => q <= x"78";
660
             when x"0468" => q <= x"DD";
661
             when x"0469" => q <= x"8E";
662
             when x"046A" => q <= x"FF";
663
             when x"046B" => q <= x"57";
664
             when x"046C" => q <= x"1A";
665
             when x"046D" => q <= x"DD";
666
             when x"046E" => q <= x"77";
667
             when x"046F" => q <= x"DD";
668
             when x"0470" => q <= x"DD";
669
             when x"0471" => q <= x"7E";
670
             when x"0472" => q <= x"FE";
671
             when x"0473" => q <= x"C6";
672
             when x"0474" => q <= x"08";
673
             when x"0475" => q <= x"5F";
674
             when x"0476" => q <= x"DD";
675
             when x"0477" => q <= x"7E";
676
             when x"0478" => q <= x"FF";
677
             when x"0479" => q <= x"CE";
678
             when x"047A" => q <= x"58";
679
             when x"047B" => q <= x"57";
680
             when x"047C" => q <= x"C5";
681
             when x"047D" => q <= x"DD";
682
             when x"047E" => q <= x"7E";
683
             when x"047F" => q <= x"DD";
684
             when x"0480" => q <= x"F5";
685
             when x"0481" => q <= x"33";
686
             when x"0482" => q <= x"D5";
687
             when x"0483" => q <= x"CD";
688
             when x"0484" => q <= x"79";
689
             when x"0485" => q <= x"0D";
690
             when x"0486" => q <= x"F1";
691
             when x"0487" => q <= x"33";
692
             when x"0488" => q <= x"C1";
693
             when x"0489" => q <= x"DD";
694
             when x"048A" => q <= x"34";
695
             when x"048B" => q <= x"FE";
696
             when x"048C" => q <= x"20";
697
             when x"048D" => q <= x"C2";
698
             when x"048E" => q <= x"DD";
699
             when x"048F" => q <= x"34";
700
             when x"0490" => q <= x"FF";
701
             when x"0491" => q <= x"18";
702
             when x"0492" => q <= x"BD";
703
             when x"0493" => q <= x"DD";
704
             when x"0494" => q <= x"F9";
705
             when x"0495" => q <= x"DD";
706
             when x"0496" => q <= x"E1";
707
             when x"0497" => q <= x"C9";
708
             when x"0498" => q <= x"2E";
709
             when x"0499" => q <= x"01";
710
             when x"049A" => q <= x"C9";
711
             when x"049B" => q <= x"DD";
712
             when x"049C" => q <= x"E5";
713
             when x"049D" => q <= x"DD";
714
             when x"049E" => q <= x"21";
715
             when x"049F" => q <= x"00";
716
             when x"04A0" => q <= x"00";
717
             when x"04A1" => q <= x"DD";
718
             when x"04A2" => q <= x"39";
719
             when x"04A3" => q <= x"CD";
720
             when x"04A4" => q <= x"4D";
721
             when x"04A5" => q <= x"0D";
722
             when x"04A6" => q <= x"7D";
723
             when x"04A7" => q <= x"FE";
724
             when x"04A8" => q <= x"01";
725
             when x"04A9" => q <= x"28";
726
             when x"04AA" => q <= x"07";
727
             when x"04AB" => q <= x"D6";
728
             when x"04AC" => q <= x"08";
729
             when x"04AD" => q <= x"28";
730
             when x"04AE" => q <= x"58";
731
             when x"04AF" => q <= x"C3";
732
             when x"04B0" => q <= x"56";
733
             when x"04B1" => q <= x"05";
734
             when x"04B2" => q <= x"DD";
735
             when x"04B3" => q <= x"7E";
736
             when x"04B4" => q <= x"04";
737
             when x"04B5" => q <= x"D6";
738
             when x"04B6" => q <= x"4E";
739
             when x"04B7" => q <= x"DD";
740
             when x"04B8" => q <= x"7E";
741
             when x"04B9" => q <= x"05";
742
             when x"04BA" => q <= x"DE";
743
             when x"04BB" => q <= x"00";
744
             when x"04BC" => q <= x"E2";
745
             when x"04BD" => q <= x"C1";
746
             when x"04BE" => q <= x"04";
747
             when x"04BF" => q <= x"EE";
748
             when x"04C0" => q <= x"80";
749
             when x"04C1" => q <= x"F2";
750
             when x"04C2" => q <= x"56";
751
             when x"04C3" => q <= x"05";
752
             when x"04C4" => q <= x"21";
753
             when x"04C5" => q <= x"3A";
754
             when x"04C6" => q <= x"00";
755
             when x"04C7" => q <= x"E5";
756
             when x"04C8" => q <= x"DD";
757
             when x"04C9" => q <= x"6E";
758
             when x"04CA" => q <= x"04";
759
             when x"04CB" => q <= x"DD";
760
             when x"04CC" => q <= x"66";
761
             when x"04CD" => q <= x"05";
762
             when x"04CE" => q <= x"E5";
763
             when x"04CF" => q <= x"CD";
764
             when x"04D0" => q <= x"A2";
765
             when x"04D1" => q <= x"0D";
766
             when x"04D2" => q <= x"F1";
767
             when x"04D3" => q <= x"26";
768
             when x"04D4" => q <= x"20";
769
             when x"04D5" => q <= x"E3";
770
             when x"04D6" => q <= x"33";
771
             when x"04D7" => q <= x"CD";
772
             when x"04D8" => q <= x"BB";
773
             when x"04D9" => q <= x"0D";
774
             when x"04DA" => q <= x"33";
775
             when x"04DB" => q <= x"21";
776
             when x"04DC" => q <= x"3B";
777
             when x"04DD" => q <= x"00";
778
             when x"04DE" => q <= x"E5";
779
             when x"04DF" => q <= x"DD";
780
             when x"04E0" => q <= x"6E";
781
             when x"04E1" => q <= x"04";
782
             when x"04E2" => q <= x"DD";
783
             when x"04E3" => q <= x"66";
784
             when x"04E4" => q <= x"05";
785
             when x"04E5" => q <= x"E5";
786
             when x"04E6" => q <= x"CD";
787
             when x"04E7" => q <= x"A2";
788
             when x"04E8" => q <= x"0D";
789
             when x"04E9" => q <= x"F1";
790
             when x"04EA" => q <= x"26";
791
             when x"04EB" => q <= x"20";
792
             when x"04EC" => q <= x"E3";
793
             when x"04ED" => q <= x"33";
794
             when x"04EE" => q <= x"CD";
795
             when x"04EF" => q <= x"BB";
796
             when x"04F0" => q <= x"0D";
797
             when x"04F1" => q <= x"33";
798
             when x"04F2" => q <= x"DD";
799
             when x"04F3" => q <= x"34";
800
             when x"04F4" => q <= x"04";
801
             when x"04F5" => q <= x"20";
802
             when x"04F6" => q <= x"03";
803
             when x"04F7" => q <= x"DD";
804
             when x"04F8" => q <= x"34";
805
             when x"04F9" => q <= x"05";
806
             when x"04FA" => q <= x"DD";
807
             when x"04FB" => q <= x"6E";
808
             when x"04FC" => q <= x"04";
809
             when x"04FD" => q <= x"DD";
810
             when x"04FE" => q <= x"66";
811
             when x"04FF" => q <= x"05";
812
             when x"0500" => q <= x"E5";
813
             when x"0501" => q <= x"CD";
814
             when x"0502" => q <= x"43";
815
             when x"0503" => q <= x"02";
816
             when x"0504" => q <= x"F1";
817
             when x"0505" => q <= x"18";
818
             when x"0506" => q <= x"4F";
819
             when x"0507" => q <= x"3E";
820
             when x"0508" => q <= x"00";
821
             when x"0509" => q <= x"DD";
822
             when x"050A" => q <= x"96";
823
             when x"050B" => q <= x"04";
824
             when x"050C" => q <= x"3E";
825
             when x"050D" => q <= x"00";
826
             when x"050E" => q <= x"DD";
827
             when x"050F" => q <= x"9E";
828
             when x"0510" => q <= x"05";
829
             when x"0511" => q <= x"E2";
830
             when x"0512" => q <= x"16";
831
             when x"0513" => q <= x"05";
832
             when x"0514" => q <= x"EE";
833
             when x"0515" => q <= x"80";
834
             when x"0516" => q <= x"F2";
835
             when x"0517" => q <= x"56";
836
             when x"0518" => q <= x"05";
837
             when x"0519" => q <= x"DD";
838
             when x"051A" => q <= x"4E";
839
             when x"051B" => q <= x"04";
840
             when x"051C" => q <= x"DD";
841
             when x"051D" => q <= x"46";
842
             when x"051E" => q <= x"05";
843
             when x"051F" => q <= x"03";
844
             when x"0520" => q <= x"C5";
845
             when x"0521" => q <= x"21";
846
             when x"0522" => q <= x"3A";
847
             when x"0523" => q <= x"00";
848
             when x"0524" => q <= x"E5";
849
             when x"0525" => q <= x"C5";
850
             when x"0526" => q <= x"CD";
851
             when x"0527" => q <= x"A2";
852
             when x"0528" => q <= x"0D";
853
             when x"0529" => q <= x"F1";
854
             when x"052A" => q <= x"26";
855
             when x"052B" => q <= x"20";
856
             when x"052C" => q <= x"E3";
857
             when x"052D" => q <= x"33";
858
             when x"052E" => q <= x"CD";
859
             when x"052F" => q <= x"BB";
860
             when x"0530" => q <= x"0D";
861
             when x"0531" => q <= x"33";
862
             when x"0532" => q <= x"C1";
863
             when x"0533" => q <= x"21";
864
             when x"0534" => q <= x"3B";
865
             when x"0535" => q <= x"00";
866
             when x"0536" => q <= x"E5";
867
             when x"0537" => q <= x"C5";
868
             when x"0538" => q <= x"CD";
869
             when x"0539" => q <= x"A2";
870
             when x"053A" => q <= x"0D";
871
             when x"053B" => q <= x"F1";
872
             when x"053C" => q <= x"26";
873
             when x"053D" => q <= x"20";
874
             when x"053E" => q <= x"E3";
875
             when x"053F" => q <= x"33";
876
             when x"0540" => q <= x"CD";
877
             when x"0541" => q <= x"BB";
878
             when x"0542" => q <= x"0D";
879
             when x"0543" => q <= x"33";
880
             when x"0544" => q <= x"DD";
881
             when x"0545" => q <= x"6E";
882
             when x"0546" => q <= x"04";
883
             when x"0547" => q <= x"DD";
884
             when x"0548" => q <= x"66";
885
             when x"0549" => q <= x"05";
886
             when x"054A" => q <= x"2B";
887
             when x"054B" => q <= x"DD";
888
             when x"054C" => q <= x"75";
889
             when x"054D" => q <= x"04";
890
             when x"054E" => q <= x"DD";
891
             when x"054F" => q <= x"74";
892
             when x"0550" => q <= x"05";
893
             when x"0551" => q <= x"E5";
894
             when x"0552" => q <= x"CD";
895
             when x"0553" => q <= x"43";
896
             when x"0554" => q <= x"02";
897
             when x"0555" => q <= x"F1";
898
             when x"0556" => q <= x"DD";
899
             when x"0557" => q <= x"6E";
900
             when x"0558" => q <= x"04";
901
             when x"0559" => q <= x"DD";
902
             when x"055A" => q <= x"66";
903
             when x"055B" => q <= x"05";
904
             when x"055C" => q <= x"DD";
905
             when x"055D" => q <= x"E1";
906
             when x"055E" => q <= x"C9";
907
             when x"055F" => q <= x"DD";
908
             when x"0560" => q <= x"E5";
909
             when x"0561" => q <= x"DD";
910
             when x"0562" => q <= x"21";
911
             when x"0563" => q <= x"00";
912
             when x"0564" => q <= x"00";
913
             when x"0565" => q <= x"DD";
914
             when x"0566" => q <= x"39";
915
             when x"0567" => q <= x"21";
916
             when x"0568" => q <= x"F1";
917
             when x"0569" => q <= x"FF";
918
             when x"056A" => q <= x"39";
919
             when x"056B" => q <= x"F9";
920
             when x"056C" => q <= x"DD";
921
             when x"056D" => q <= x"4E";
922
             when x"056E" => q <= x"04";
923
             when x"056F" => q <= x"06";
924
             when x"0570" => q <= x"00";
925
             when x"0571" => q <= x"0B";
926
             when x"0572" => q <= x"59";
927
             when x"0573" => q <= x"50";
928
             when x"0574" => q <= x"6B";
929
             when x"0575" => q <= x"62";
930
             when x"0576" => q <= x"29";
931
             when x"0577" => q <= x"29";
932
             when x"0578" => q <= x"19";
933
             when x"0579" => q <= x"29";
934
             when x"057A" => q <= x"DD";
935
             when x"057B" => q <= x"75";
936
             when x"057C" => q <= x"FE";
937
             when x"057D" => q <= x"DD";
938
             when x"057E" => q <= x"74";
939
             when x"057F" => q <= x"FF";
940
             when x"0580" => q <= x"DD";
941
             when x"0581" => q <= x"7E";
942
             when x"0582" => q <= x"FE";
943
             when x"0583" => q <= x"C6";
944
             when x"0584" => q <= x"00";
945
             when x"0585" => q <= x"5F";
946
             when x"0586" => q <= x"DD";
947
             when x"0587" => q <= x"7E";
948
             when x"0588" => q <= x"FF";
949
             when x"0589" => q <= x"CE";
950
             when x"058A" => q <= x"60";
951
             when x"058B" => q <= x"57";
952
             when x"058C" => q <= x"D5";
953
             when x"058D" => q <= x"D5";
954
             when x"058E" => q <= x"CD";
955
             when x"058F" => q <= x"8E";
956
             when x"0590" => q <= x"0D";
957
             when x"0591" => q <= x"F1";
958
             when x"0592" => q <= x"D1";
959
             when x"0593" => q <= x"DD";
960
             when x"0594" => q <= x"75";
961
             when x"0595" => q <= x"FC";
962
             when x"0596" => q <= x"DD";
963
             when x"0597" => q <= x"36";
964
             when x"0598" => q <= x"FD";
965
             when x"0599" => q <= x"00";
966
             when x"059A" => q <= x"DD";
967
             when x"059B" => q <= x"7E";
968
             when x"059C" => q <= x"FE";
969
             when x"059D" => q <= x"C6";
970
             when x"059E" => q <= x"01";
971
             when x"059F" => q <= x"DD";
972
             when x"05A0" => q <= x"77";
973
             when x"05A1" => q <= x"F4";
974
             when x"05A2" => q <= x"DD";
975
             when x"05A3" => q <= x"7E";
976
             when x"05A4" => q <= x"FF";
977
             when x"05A5" => q <= x"CE";
978
             when x"05A6" => q <= x"60";
979
             when x"05A7" => q <= x"DD";
980
             when x"05A8" => q <= x"77";
981
             when x"05A9" => q <= x"F5";
982
             when x"05AA" => q <= x"D5";
983
             when x"05AB" => q <= x"DD";
984
             when x"05AC" => q <= x"6E";
985
             when x"05AD" => q <= x"F4";
986
             when x"05AE" => q <= x"DD";
987
             when x"05AF" => q <= x"66";
988
             when x"05B0" => q <= x"F5";
989
             when x"05B1" => q <= x"E5";
990
             when x"05B2" => q <= x"CD";
991
             when x"05B3" => q <= x"8E";
992
             when x"05B4" => q <= x"0D";
993
             when x"05B5" => q <= x"F1";
994
             when x"05B6" => q <= x"D1";
995
             when x"05B7" => q <= x"DD";
996
             when x"05B8" => q <= x"75";
997
             when x"05B9" => q <= x"FA";
998
             when x"05BA" => q <= x"DD";
999
             when x"05BB" => q <= x"36";
1000
             when x"05BC" => q <= x"FB";
1001
             when x"05BD" => q <= x"00";
1002
             when x"05BE" => q <= x"DD";
1003
             when x"05BF" => q <= x"7E";
1004
             when x"05C0" => q <= x"FE";
1005
             when x"05C1" => q <= x"C6";
1006
             when x"05C2" => q <= x"03";
1007
             when x"05C3" => q <= x"DD";
1008
             when x"05C4" => q <= x"77";
1009
             when x"05C5" => q <= x"F2";
1010
             when x"05C6" => q <= x"DD";
1011
             when x"05C7" => q <= x"7E";
1012
             when x"05C8" => q <= x"FF";
1013
             when x"05C9" => q <= x"CE";
1014
             when x"05CA" => q <= x"60";
1015
             when x"05CB" => q <= x"DD";
1016
             when x"05CC" => q <= x"77";
1017
             when x"05CD" => q <= x"F3";
1018
             when x"05CE" => q <= x"D5";
1019
             when x"05CF" => q <= x"DD";
1020
             when x"05D0" => q <= x"6E";
1021
             when x"05D1" => q <= x"F2";
1022
             when x"05D2" => q <= x"DD";
1023
             when x"05D3" => q <= x"66";
1024
             when x"05D4" => q <= x"F3";
1025
             when x"05D5" => q <= x"E5";
1026
             when x"05D6" => q <= x"CD";
1027
             when x"05D7" => q <= x"8E";
1028
             when x"05D8" => q <= x"0D";
1029
             when x"05D9" => q <= x"F1";
1030
             when x"05DA" => q <= x"D1";
1031
             when x"05DB" => q <= x"DD";
1032
             when x"05DC" => q <= x"75";
1033
             when x"05DD" => q <= x"F8";
1034
             when x"05DE" => q <= x"DD";
1035
             when x"05DF" => q <= x"36";
1036
             when x"05E0" => q <= x"F9";
1037
             when x"05E1" => q <= x"00";
1038
             when x"05E2" => q <= x"3E";
1039
             when x"05E3" => q <= x"0A";
1040
             when x"05E4" => q <= x"DD";
1041
             when x"05E5" => q <= x"96";
1042
             when x"05E6" => q <= x"F8";
1043
             when x"05E7" => q <= x"3E";
1044
             when x"05E8" => q <= x"00";
1045
             when x"05E9" => q <= x"DD";
1046
             when x"05EA" => q <= x"9E";
1047
             when x"05EB" => q <= x"F9";
1048
             when x"05EC" => q <= x"E2";
1049
             when x"05ED" => q <= x"F1";
1050
             when x"05EE" => q <= x"05";
1051
             when x"05EF" => q <= x"EE";
1052
             when x"05F0" => q <= x"80";
1053
             when x"05F1" => q <= x"F2";
1054
             when x"05F2" => q <= x"BE";
1055
             when x"05F3" => q <= x"06";
1056
             when x"05F4" => q <= x"D5";
1057
             when x"05F5" => q <= x"CD";
1058
             when x"05F6" => q <= x"DB";
1059
             when x"05F7" => q <= x"0D";
1060
             when x"05F8" => q <= x"D1";
1061
             when x"05F9" => q <= x"7C";
1062
             when x"05FA" => q <= x"D6";
1063
             when x"05FB" => q <= x"10";
1064
             when x"05FC" => q <= x"E2";
1065
             when x"05FD" => q <= x"01";
1066
             when x"05FE" => q <= x"06";
1067
             when x"05FF" => q <= x"EE";
1068
             when x"0600" => q <= x"80";
1069
             when x"0601" => q <= x"F2";
1070
             when x"0602" => q <= x"0F";
1071
             when x"0603" => q <= x"06";
1072
             when x"0604" => q <= x"DD";
1073
             when x"0605" => q <= x"36";
1074
             when x"0606" => q <= x"F6";
1075
             when x"0607" => q <= x"01";
1076
             when x"0608" => q <= x"DD";
1077
             when x"0609" => q <= x"36";
1078
             when x"060A" => q <= x"F7";
1079
             when x"060B" => q <= x"00";
1080
             when x"060C" => q <= x"C3";
1081
             when x"060D" => q <= x"95";
1082
             when x"060E" => q <= x"06";
1083
             when x"060F" => q <= x"7C";
1084
             when x"0610" => q <= x"D6";
1085
             when x"0611" => q <= x"20";
1086
             when x"0612" => q <= x"E2";
1087
             when x"0613" => q <= x"17";
1088
             when x"0614" => q <= x"06";
1089
             when x"0615" => q <= x"EE";
1090
             when x"0616" => q <= x"80";
1091
             when x"0617" => q <= x"F2";
1092
             when x"0618" => q <= x"24";
1093
             when x"0619" => q <= x"06";
1094
             when x"061A" => q <= x"DD";
1095
             when x"061B" => q <= x"36";
1096
             when x"061C" => q <= x"F6";
1097
             when x"061D" => q <= x"02";
1098
             when x"061E" => q <= x"DD";
1099
             when x"061F" => q <= x"36";
1100
             when x"0620" => q <= x"F7";
1101
             when x"0621" => q <= x"00";
1102
             when x"0622" => q <= x"18";
1103
             when x"0623" => q <= x"71";
1104
             when x"0624" => q <= x"7C";
1105
             when x"0625" => q <= x"D6";
1106
             when x"0626" => q <= x"30";
1107
             when x"0627" => q <= x"E2";
1108
             when x"0628" => q <= x"2C";
1109
             when x"0629" => q <= x"06";
1110
             when x"062A" => q <= x"EE";
1111
             when x"062B" => q <= x"80";
1112
             when x"062C" => q <= x"F2";
1113
             when x"062D" => q <= x"39";
1114
             when x"062E" => q <= x"06";
1115
             when x"062F" => q <= x"DD";
1116
             when x"0630" => q <= x"36";
1117
             when x"0631" => q <= x"F6";
1118
             when x"0632" => q <= x"03";
1119
             when x"0633" => q <= x"DD";
1120
             when x"0634" => q <= x"36";
1121
             when x"0635" => q <= x"F7";
1122
             when x"0636" => q <= x"00";
1123
             when x"0637" => q <= x"18";
1124
             when x"0638" => q <= x"5C";
1125
             when x"0639" => q <= x"7C";
1126
             when x"063A" => q <= x"D6";
1127
             when x"063B" => q <= x"40";
1128
             when x"063C" => q <= x"E2";
1129
             when x"063D" => q <= x"41";
1130
             when x"063E" => q <= x"06";
1131
             when x"063F" => q <= x"EE";
1132
             when x"0640" => q <= x"80";
1133
             when x"0641" => q <= x"F2";
1134
             when x"0642" => q <= x"4E";
1135
             when x"0643" => q <= x"06";
1136
             when x"0644" => q <= x"DD";
1137
             when x"0645" => q <= x"36";
1138
             when x"0646" => q <= x"F6";
1139
             when x"0647" => q <= x"04";
1140
             when x"0648" => q <= x"DD";
1141
             when x"0649" => q <= x"36";
1142
             when x"064A" => q <= x"F7";
1143
             when x"064B" => q <= x"00";
1144
             when x"064C" => q <= x"18";
1145
             when x"064D" => q <= x"47";
1146
             when x"064E" => q <= x"7C";
1147
             when x"064F" => q <= x"D6";
1148
             when x"0650" => q <= x"50";
1149
             when x"0651" => q <= x"E2";
1150
             when x"0652" => q <= x"56";
1151
             when x"0653" => q <= x"06";
1152
             when x"0654" => q <= x"EE";
1153
             when x"0655" => q <= x"80";
1154
             when x"0656" => q <= x"F2";
1155
             when x"0657" => q <= x"63";
1156
             when x"0658" => q <= x"06";
1157
             when x"0659" => q <= x"DD";
1158
             when x"065A" => q <= x"36";
1159
             when x"065B" => q <= x"F6";
1160
             when x"065C" => q <= x"05";
1161
             when x"065D" => q <= x"DD";
1162
             when x"065E" => q <= x"36";
1163
             when x"065F" => q <= x"F7";
1164
             when x"0660" => q <= x"00";
1165
             when x"0661" => q <= x"18";
1166
             when x"0662" => q <= x"32";
1167
             when x"0663" => q <= x"7C";
1168
             when x"0664" => q <= x"D6";
1169
             when x"0665" => q <= x"60";
1170
             when x"0666" => q <= x"E2";
1171
             when x"0667" => q <= x"6B";
1172
             when x"0668" => q <= x"06";
1173
             when x"0669" => q <= x"EE";
1174
             when x"066A" => q <= x"80";
1175
             when x"066B" => q <= x"F2";
1176
             when x"066C" => q <= x"78";
1177
             when x"066D" => q <= x"06";
1178
             when x"066E" => q <= x"DD";
1179
             when x"066F" => q <= x"36";
1180
             when x"0670" => q <= x"F6";
1181
             when x"0671" => q <= x"06";
1182
             when x"0672" => q <= x"DD";
1183
             when x"0673" => q <= x"36";
1184
             when x"0674" => q <= x"F7";
1185
             when x"0675" => q <= x"00";
1186
             when x"0676" => q <= x"18";
1187
             when x"0677" => q <= x"1D";
1188
             when x"0678" => q <= x"7C";
1189
             when x"0679" => q <= x"D6";
1190
             when x"067A" => q <= x"70";
1191
             when x"067B" => q <= x"E2";
1192
             when x"067C" => q <= x"80";
1193
             when x"067D" => q <= x"06";
1194
             when x"067E" => q <= x"EE";
1195
             when x"067F" => q <= x"80";
1196
             when x"0680" => q <= x"F2";
1197
             when x"0681" => q <= x"8D";
1198
             when x"0682" => q <= x"06";
1199
             when x"0683" => q <= x"DD";
1200
             when x"0684" => q <= x"36";
1201
             when x"0685" => q <= x"F6";
1202
             when x"0686" => q <= x"07";
1203
             when x"0687" => q <= x"DD";
1204
             when x"0688" => q <= x"36";
1205
             when x"0689" => q <= x"F7";
1206
             when x"068A" => q <= x"00";
1207
             when x"068B" => q <= x"18";
1208
             when x"068C" => q <= x"08";
1209
             when x"068D" => q <= x"DD";
1210
             when x"068E" => q <= x"36";
1211
             when x"068F" => q <= x"F6";
1212
             when x"0690" => q <= x"00";
1213
             when x"0691" => q <= x"DD";
1214
             when x"0692" => q <= x"36";
1215
             when x"0693" => q <= x"F7";
1216
             when x"0694" => q <= x"00";
1217
             when x"0695" => q <= x"DD";
1218
             when x"0696" => q <= x"7E";
1219
             when x"0697" => q <= x"F6";
1220
             when x"0698" => q <= x"DD";
1221
             when x"0699" => q <= x"77";
1222
             when x"069A" => q <= x"F1";
1223
             when x"069B" => q <= x"DD";
1224
             when x"069C" => q <= x"7E";
1225
             when x"069D" => q <= x"FE";
1226
             when x"069E" => q <= x"C6";
1227
             when x"069F" => q <= x"02";
1228
             when x"06A0" => q <= x"4F";
1229
             when x"06A1" => q <= x"DD";
1230
             when x"06A2" => q <= x"7E";
1231
             when x"06A3" => q <= x"FF";
1232
             when x"06A4" => q <= x"CE";
1233
             when x"06A5" => q <= x"60";
1234
             when x"06A6" => q <= x"47";
1235
             when x"06A7" => q <= x"D5";
1236
             when x"06A8" => q <= x"DD";
1237
             when x"06A9" => q <= x"7E";
1238
             when x"06AA" => q <= x"F1";
1239
             when x"06AB" => q <= x"F5";
1240
             when x"06AC" => q <= x"33";
1241
             when x"06AD" => q <= x"C5";
1242
             when x"06AE" => q <= x"CD";
1243
             when x"06AF" => q <= x"79";
1244
             when x"06B0" => q <= x"0D";
1245
             when x"06B1" => q <= x"F1";
1246
             when x"06B2" => q <= x"33";
1247
             when x"06B3" => q <= x"D1";
1248
             when x"06B4" => q <= x"DD";
1249
             when x"06B5" => q <= x"36";
1250
             when x"06B6" => q <= x"F8";
1251
             when x"06B7" => q <= x"00";
1252
             when x"06B8" => q <= x"DD";
1253
             when x"06B9" => q <= x"36";
1254
             when x"06BA" => q <= x"F9";
1255
             when x"06BB" => q <= x"00";
1256
             when x"06BC" => q <= x"18";
1257
             when x"06BD" => q <= x"22";
1258
             when x"06BE" => q <= x"DD";
1259
             when x"06BF" => q <= x"7E";
1260
             when x"06C0" => q <= x"FE";
1261
             when x"06C1" => q <= x"C6";
1262
             when x"06C2" => q <= x"02";
1263
             when x"06C3" => q <= x"4F";
1264
             when x"06C4" => q <= x"DD";
1265
             when x"06C5" => q <= x"7E";
1266
             when x"06C6" => q <= x"FF";
1267
             when x"06C7" => q <= x"CE";
1268
             when x"06C8" => q <= x"60";
1269
             when x"06C9" => q <= x"47";
1270
             when x"06CA" => q <= x"D5";
1271
             when x"06CB" => q <= x"C5";
1272
             when x"06CC" => q <= x"CD";
1273
             when x"06CD" => q <= x"8E";
1274
             when x"06CE" => q <= x"0D";
1275
             when x"06CF" => q <= x"F1";
1276
             when x"06D0" => q <= x"D1";
1277
             when x"06D1" => q <= x"DD";
1278
             when x"06D2" => q <= x"75";
1279
             when x"06D3" => q <= x"F6";
1280
             when x"06D4" => q <= x"DD";
1281
             when x"06D5" => q <= x"36";
1282
             when x"06D6" => q <= x"F7";
1283
             when x"06D7" => q <= x"00";
1284
             when x"06D8" => q <= x"DD";
1285
             when x"06D9" => q <= x"34";
1286
             when x"06DA" => q <= x"F8";
1287
             when x"06DB" => q <= x"20";
1288
             when x"06DC" => q <= x"03";
1289
             when x"06DD" => q <= x"DD";
1290
             when x"06DE" => q <= x"34";
1291
             when x"06DF" => q <= x"F9";
1292
             when x"06E0" => q <= x"DD";
1293
             when x"06E1" => q <= x"6E";
1294
             when x"06E2" => q <= x"F8";
1295
             when x"06E3" => q <= x"D5";
1296
             when x"06E4" => q <= x"7D";
1297
             when x"06E5" => q <= x"F5";
1298
             when x"06E6" => q <= x"33";
1299
             when x"06E7" => q <= x"DD";
1300
             when x"06E8" => q <= x"6E";
1301
             when x"06E9" => q <= x"F2";
1302
             when x"06EA" => q <= x"DD";
1303
             when x"06EB" => q <= x"66";
1304
             when x"06EC" => q <= x"F3";
1305
             when x"06ED" => q <= x"E5";
1306
             when x"06EE" => q <= x"CD";
1307
             when x"06EF" => q <= x"79";
1308
             when x"06F0" => q <= x"0D";
1309
             when x"06F1" => q <= x"F1";
1310
             when x"06F2" => q <= x"33";
1311
             when x"06F3" => q <= x"D1";
1312
             when x"06F4" => q <= x"DD";
1313
             when x"06F5" => q <= x"CB";
1314
             when x"06F6" => q <= x"F7";
1315
             when x"06F7" => q <= x"7E";
1316
             when x"06F8" => q <= x"C2";
1317
             when x"06F9" => q <= x"CD";
1318
             when x"06FA" => q <= x"0B";
1319
             when x"06FB" => q <= x"3E";
1320
             when x"06FC" => q <= x"07";
1321
             when x"06FD" => q <= x"DD";
1322
             when x"06FE" => q <= x"96";
1323
             when x"06FF" => q <= x"F6";
1324
             when x"0700" => q <= x"3E";
1325
             when x"0701" => q <= x"00";
1326
             when x"0702" => q <= x"DD";
1327
             when x"0703" => q <= x"9E";
1328
             when x"0704" => q <= x"F7";
1329
             when x"0705" => q <= x"E2";
1330
             when x"0706" => q <= x"0A";
1331
             when x"0707" => q <= x"07";
1332
             when x"0708" => q <= x"EE";
1333
             when x"0709" => q <= x"80";
1334
             when x"070A" => q <= x"FA";
1335
             when x"070B" => q <= x"CD";
1336
             when x"070C" => q <= x"0B";
1337
             when x"070D" => q <= x"D5";
1338
             when x"070E" => q <= x"DD";
1339
             when x"070F" => q <= x"5E";
1340
             when x"0710" => q <= x"F6";
1341
             when x"0711" => q <= x"16";
1342
             when x"0712" => q <= x"00";
1343
             when x"0713" => q <= x"21";
1344
             when x"0714" => q <= x"1B";
1345
             when x"0715" => q <= x"07";
1346
             when x"0716" => q <= x"19";
1347
             when x"0717" => q <= x"19";
1348
             when x"0718" => q <= x"19";
1349
             when x"0719" => q <= x"D1";
1350
             when x"071A" => q <= x"E9";
1351
             when x"071B" => q <= x"C3";
1352
             when x"071C" => q <= x"33";
1353
             when x"071D" => q <= x"07";
1354
             when x"071E" => q <= x"C3";
1355
             when x"071F" => q <= x"9B";
1356
             when x"0720" => q <= x"07";
1357
             when x"0721" => q <= x"C3";
1358
             when x"0722" => q <= x"4C";
1359
             when x"0723" => q <= x"08";
1360
             when x"0724" => q <= x"C3";
1361
             when x"0725" => q <= x"C2";
1362
             when x"0726" => q <= x"08";
1363
             when x"0727" => q <= x"C3";
1364
             when x"0728" => q <= x"6E";
1365
             when x"0729" => q <= x"09";
1366
             when x"072A" => q <= x"C3";
1367
             when x"072B" => q <= x"D0";
1368
             when x"072C" => q <= x"09";
1369
             when x"072D" => q <= x"C3";
1370
             when x"072E" => q <= x"95";
1371
             when x"072F" => q <= x"0A";
1372
             when x"0730" => q <= x"C3";
1373
             when x"0731" => q <= x"20";
1374
             when x"0732" => q <= x"0B";
1375
             when x"0733" => q <= x"3E";
1376
             when x"0734" => q <= x"02";
1377
             when x"0735" => q <= x"DD";
1378
             when x"0736" => q <= x"96";
1379
             when x"0737" => q <= x"FA";
1380
             when x"0738" => q <= x"3E";
1381
             when x"0739" => q <= x"00";
1382
             when x"073A" => q <= x"DD";
1383
             when x"073B" => q <= x"9E";
1384
             when x"073C" => q <= x"FB";
1385
             when x"073D" => q <= x"E2";
1386
             when x"073E" => q <= x"42";
1387
             when x"073F" => q <= x"07";
1388
             when x"0740" => q <= x"EE";
1389
             when x"0741" => q <= x"80";
1390
             when x"0742" => q <= x"F2";
1391
             when x"0743" => q <= x"CD";
1392
             when x"0744" => q <= x"0B";
1393
             when x"0745" => q <= x"DD";
1394
             when x"0746" => q <= x"4E";
1395
             when x"0747" => q <= x"FA";
1396
             when x"0748" => q <= x"DD";
1397
             when x"0749" => q <= x"46";
1398
             when x"074A" => q <= x"FB";
1399
             when x"074B" => q <= x"03";
1400
             when x"074C" => q <= x"C5";
1401
             when x"074D" => q <= x"DD";
1402
             when x"074E" => q <= x"6E";
1403
             when x"074F" => q <= x"FC";
1404
             when x"0750" => q <= x"DD";
1405
             when x"0751" => q <= x"66";
1406
             when x"0752" => q <= x"FD";
1407
             when x"0753" => q <= x"E5";
1408
             when x"0754" => q <= x"CD";
1409
             when x"0755" => q <= x"A2";
1410
             when x"0756" => q <= x"0D";
1411
             when x"0757" => q <= x"F1";
1412
             when x"0758" => q <= x"26";
1413
             when x"0759" => q <= x"20";
1414
             when x"075A" => q <= x"E3";
1415
             when x"075B" => q <= x"33";
1416
             when x"075C" => q <= x"CD";
1417
             when x"075D" => q <= x"BB";
1418
             when x"075E" => q <= x"0D";
1419
             when x"075F" => q <= x"33";
1420
             when x"0760" => q <= x"3E";
1421
             when x"0761" => q <= x"20";
1422
             when x"0762" => q <= x"F5";
1423
             when x"0763" => q <= x"33";
1424
             when x"0764" => q <= x"CD";
1425
             when x"0765" => q <= x"BB";
1426
             when x"0766" => q <= x"0D";
1427
             when x"0767" => q <= x"33";
1428
             when x"0768" => q <= x"DD";
1429
             when x"0769" => q <= x"6E";
1430
             when x"076A" => q <= x"FA";
1431
             when x"076B" => q <= x"DD";
1432
             when x"076C" => q <= x"66";
1433
             when x"076D" => q <= x"FB";
1434
             when x"076E" => q <= x"2B";
1435
             when x"076F" => q <= x"DD";
1436
             when x"0770" => q <= x"75";
1437
             when x"0771" => q <= x"FA";
1438
             when x"0772" => q <= x"DD";
1439
             when x"0773" => q <= x"74";
1440
             when x"0774" => q <= x"FB";
1441
             when x"0775" => q <= x"DD";
1442
             when x"0776" => q <= x"4E";
1443
             when x"0777" => q <= x"FA";
1444
             when x"0778" => q <= x"C5";
1445
             when x"0779" => q <= x"79";
1446
             when x"077A" => q <= x"F5";
1447
             when x"077B" => q <= x"33";
1448
             when x"077C" => q <= x"DD";
1449
             when x"077D" => q <= x"6E";
1450
             when x"077E" => q <= x"F4";
1451
             when x"077F" => q <= x"DD";
1452
             when x"0780" => q <= x"66";
1453
             when x"0781" => q <= x"F5";
1454
             when x"0782" => q <= x"E5";
1455
             when x"0783" => q <= x"CD";
1456
             when x"0784" => q <= x"79";
1457
             when x"0785" => q <= x"0D";
1458
             when x"0786" => q <= x"F1";
1459
             when x"0787" => q <= x"33";
1460
             when x"0788" => q <= x"C1";
1461
             when x"0789" => q <= x"DD";
1462
             when x"078A" => q <= x"5E";
1463
             when x"078B" => q <= x"FC";
1464
             when x"078C" => q <= x"DD";
1465
             when x"078D" => q <= x"46";
1466
             when x"078E" => q <= x"FE";
1467
             when x"078F" => q <= x"51";
1468
             when x"0790" => q <= x"D5";
1469
             when x"0791" => q <= x"C5";
1470
             when x"0792" => q <= x"33";
1471
             when x"0793" => q <= x"CD";
1472
             when x"0794" => q <= x"8C";
1473
             when x"0795" => q <= x"02";
1474
             when x"0796" => q <= x"F1";
1475
             when x"0797" => q <= x"33";
1476
             when x"0798" => q <= x"C3";
1477
             when x"0799" => q <= x"CD";
1478
             when x"079A" => q <= x"0B";
1479
             when x"079B" => q <= x"3E";
1480
             when x"079C" => q <= x"02";
1481
             when x"079D" => q <= x"DD";
1482
             when x"079E" => q <= x"96";
1483
             when x"079F" => q <= x"FA";
1484
             when x"07A0" => q <= x"3E";
1485
             when x"07A1" => q <= x"00";
1486
             when x"07A2" => q <= x"DD";
1487
             when x"07A3" => q <= x"9E";
1488
             when x"07A4" => q <= x"FB";
1489
             when x"07A5" => q <= x"E2";
1490
             when x"07A6" => q <= x"AA";
1491
             when x"07A7" => q <= x"07";
1492
             when x"07A8" => q <= x"EE";
1493
             when x"07A9" => q <= x"80";
1494
             when x"07AA" => q <= x"F2";
1495
             when x"07AB" => q <= x"CD";
1496
             when x"07AC" => q <= x"0B";
1497
             when x"07AD" => q <= x"DD";
1498
             when x"07AE" => q <= x"7E";
1499
             when x"07AF" => q <= x"FC";
1500
             when x"07B0" => q <= x"D6";
1501
             when x"07B1" => q <= x"4E";
1502
             when x"07B2" => q <= x"DD";
1503
             when x"07B3" => q <= x"7E";
1504
             when x"07B4" => q <= x"FD";
1505
             when x"07B5" => q <= x"DE";
1506
             when x"07B6" => q <= x"00";
1507
             when x"07B7" => q <= x"E2";
1508
             when x"07B8" => q <= x"BC";
1509
             when x"07B9" => q <= x"07";
1510
             when x"07BA" => q <= x"EE";
1511
             when x"07BB" => q <= x"80";
1512
             when x"07BC" => q <= x"F2";
1513
             when x"07BD" => q <= x"CD";
1514
             when x"07BE" => q <= x"0B";
1515
             when x"07BF" => q <= x"D5";
1516
             when x"07C0" => q <= x"DD";
1517
             when x"07C1" => q <= x"6E";
1518
             when x"07C2" => q <= x"FA";
1519
             when x"07C3" => q <= x"DD";
1520
             when x"07C4" => q <= x"66";
1521
             when x"07C5" => q <= x"FB";
1522
             when x"07C6" => q <= x"E5";
1523
             when x"07C7" => q <= x"DD";
1524
             when x"07C8" => q <= x"6E";
1525
             when x"07C9" => q <= x"FC";
1526
             when x"07CA" => q <= x"DD";
1527
             when x"07CB" => q <= x"66";
1528
             when x"07CC" => q <= x"FD";
1529
             when x"07CD" => q <= x"E5";
1530
             when x"07CE" => q <= x"CD";
1531
             when x"07CF" => q <= x"A2";
1532
             when x"07D0" => q <= x"0D";
1533
             when x"07D1" => q <= x"F1";
1534
             when x"07D2" => q <= x"26";
1535
             when x"07D3" => q <= x"20";
1536
             when x"07D4" => q <= x"E3";
1537
             when x"07D5" => q <= x"33";
1538
             when x"07D6" => q <= x"CD";
1539
             when x"07D7" => q <= x"BB";
1540
             when x"07D8" => q <= x"0D";
1541
             when x"07D9" => q <= x"33";
1542
             when x"07DA" => q <= x"D1";
1543
             when x"07DB" => q <= x"DD";
1544
             when x"07DC" => q <= x"4E";
1545
             when x"07DD" => q <= x"FA";
1546
             when x"07DE" => q <= x"DD";
1547
             when x"07DF" => q <= x"46";
1548
             when x"07E0" => q <= x"FB";
1549
             when x"07E1" => q <= x"03";
1550
             when x"07E2" => q <= x"D5";
1551
             when x"07E3" => q <= x"C5";
1552
             when x"07E4" => q <= x"DD";
1553
             when x"07E5" => q <= x"6E";
1554
             when x"07E6" => q <= x"FC";
1555
             when x"07E7" => q <= x"DD";
1556
             when x"07E8" => q <= x"66";
1557
             when x"07E9" => q <= x"FD";
1558
             when x"07EA" => q <= x"E5";
1559
             when x"07EB" => q <= x"CD";
1560
             when x"07EC" => q <= x"A2";
1561
             when x"07ED" => q <= x"0D";
1562
             when x"07EE" => q <= x"F1";
1563
             when x"07EF" => q <= x"26";
1564
             when x"07F0" => q <= x"20";
1565
             when x"07F1" => q <= x"E3";
1566
             when x"07F2" => q <= x"33";
1567
             when x"07F3" => q <= x"CD";
1568
             when x"07F4" => q <= x"BB";
1569
             when x"07F5" => q <= x"0D";
1570
             when x"07F6" => q <= x"33";
1571
             when x"07F7" => q <= x"3E";
1572
             when x"07F8" => q <= x"20";
1573
             when x"07F9" => q <= x"F5";
1574
             when x"07FA" => q <= x"33";
1575
             when x"07FB" => q <= x"CD";
1576
             when x"07FC" => q <= x"BB";
1577
             when x"07FD" => q <= x"0D";
1578
             when x"07FE" => q <= x"33";
1579
             when x"07FF" => q <= x"D1";
1580
             when x"0800" => q <= x"DD";
1581
             when x"0801" => q <= x"6E";
1582
             when x"0802" => q <= x"FA";
1583
             when x"0803" => q <= x"DD";
1584
             when x"0804" => q <= x"66";
1585
             when x"0805" => q <= x"FB";
1586
             when x"0806" => q <= x"2B";
1587
             when x"0807" => q <= x"DD";
1588
             when x"0808" => q <= x"75";
1589
             when x"0809" => q <= x"FA";
1590
             when x"080A" => q <= x"DD";
1591
             when x"080B" => q <= x"74";
1592
             when x"080C" => q <= x"FB";
1593
             when x"080D" => q <= x"DD";
1594
             when x"080E" => q <= x"34";
1595
             when x"080F" => q <= x"FC";
1596
             when x"0810" => q <= x"20";
1597
             when x"0811" => q <= x"03";
1598
             when x"0812" => q <= x"DD";
1599
             when x"0813" => q <= x"34";
1600
             when x"0814" => q <= x"FD";
1601
             when x"0815" => q <= x"DD";
1602
             when x"0816" => q <= x"4E";
1603
             when x"0817" => q <= x"FC";
1604
             when x"0818" => q <= x"C5";
1605
             when x"0819" => q <= x"79";
1606
             when x"081A" => q <= x"F5";
1607
             when x"081B" => q <= x"33";
1608
             when x"081C" => q <= x"D5";
1609
             when x"081D" => q <= x"CD";
1610
             when x"081E" => q <= x"79";
1611
             when x"081F" => q <= x"0D";
1612
             when x"0820" => q <= x"F1";
1613
             when x"0821" => q <= x"33";
1614
             when x"0822" => q <= x"C1";
1615
             when x"0823" => q <= x"DD";
1616
             when x"0824" => q <= x"5E";
1617
             when x"0825" => q <= x"FA";
1618
             when x"0826" => q <= x"C5";
1619
             when x"0827" => q <= x"D5";
1620
             when x"0828" => q <= x"7B";
1621
             when x"0829" => q <= x"F5";
1622
             when x"082A" => q <= x"33";
1623
             when x"082B" => q <= x"DD";
1624
             when x"082C" => q <= x"6E";
1625
             when x"082D" => q <= x"F4";
1626
             when x"082E" => q <= x"DD";
1627
             when x"082F" => q <= x"66";
1628
             when x"0830" => q <= x"F5";
1629
             when x"0831" => q <= x"E5";
1630
             when x"0832" => q <= x"CD";
1631
             when x"0833" => q <= x"79";
1632
             when x"0834" => q <= x"0D";
1633
             when x"0835" => q <= x"F1";
1634
             when x"0836" => q <= x"33";
1635
             when x"0837" => q <= x"D1";
1636
             when x"0838" => q <= x"C1";
1637
             when x"0839" => q <= x"DD";
1638
             when x"083A" => q <= x"46";
1639
             when x"083B" => q <= x"FE";
1640
             when x"083C" => q <= x"7B";
1641
             when x"083D" => q <= x"F5";
1642
             when x"083E" => q <= x"33";
1643
             when x"083F" => q <= x"79";
1644
             when x"0840" => q <= x"F5";
1645
             when x"0841" => q <= x"33";
1646
             when x"0842" => q <= x"C5";
1647
             when x"0843" => q <= x"33";
1648
             when x"0844" => q <= x"CD";
1649
             when x"0845" => q <= x"8C";
1650
             when x"0846" => q <= x"02";
1651
             when x"0847" => q <= x"F1";
1652
             when x"0848" => q <= x"33";
1653
             when x"0849" => q <= x"C3";
1654
             when x"084A" => q <= x"CD";
1655
             when x"084B" => q <= x"0B";
1656
             when x"084C" => q <= x"DD";
1657
             when x"084D" => q <= x"7E";
1658
             when x"084E" => q <= x"FC";
1659
             when x"084F" => q <= x"D6";
1660
             when x"0850" => q <= x"4E";
1661
             when x"0851" => q <= x"DD";
1662
             when x"0852" => q <= x"7E";
1663
             when x"0853" => q <= x"FD";
1664
             when x"0854" => q <= x"DE";
1665
             when x"0855" => q <= x"00";
1666
             when x"0856" => q <= x"E2";
1667
             when x"0857" => q <= x"5B";
1668
             when x"0858" => q <= x"08";
1669
             when x"0859" => q <= x"EE";
1670
             when x"085A" => q <= x"80";
1671
             when x"085B" => q <= x"F2";
1672
             when x"085C" => q <= x"CD";
1673
             when x"085D" => q <= x"0B";
1674
             when x"085E" => q <= x"D5";
1675
             when x"085F" => q <= x"DD";
1676
             when x"0860" => q <= x"6E";
1677
             when x"0861" => q <= x"FA";
1678
             when x"0862" => q <= x"DD";
1679
             when x"0863" => q <= x"66";
1680
             when x"0864" => q <= x"FB";
1681
             when x"0865" => q <= x"E5";
1682
             when x"0866" => q <= x"DD";
1683
             when x"0867" => q <= x"6E";
1684
             when x"0868" => q <= x"FC";
1685
             when x"0869" => q <= x"DD";
1686
             when x"086A" => q <= x"66";
1687
             when x"086B" => q <= x"FD";
1688
             when x"086C" => q <= x"E5";
1689
             when x"086D" => q <= x"CD";
1690
             when x"086E" => q <= x"A2";
1691
             when x"086F" => q <= x"0D";
1692
             when x"0870" => q <= x"F1";
1693
             when x"0871" => q <= x"26";
1694
             when x"0872" => q <= x"20";
1695
             when x"0873" => q <= x"E3";
1696
             when x"0874" => q <= x"33";
1697
             when x"0875" => q <= x"CD";
1698
             when x"0876" => q <= x"BB";
1699
             when x"0877" => q <= x"0D";
1700
             when x"0878" => q <= x"33";
1701
             when x"0879" => q <= x"D1";
1702
             when x"087A" => q <= x"DD";
1703
             when x"087B" => q <= x"4E";
1704
             when x"087C" => q <= x"FA";
1705
             when x"087D" => q <= x"DD";
1706
             when x"087E" => q <= x"46";
1707
             when x"087F" => q <= x"FB";
1708
             when x"0880" => q <= x"03";
1709
             when x"0881" => q <= x"D5";
1710
             when x"0882" => q <= x"C5";
1711
             when x"0883" => q <= x"DD";
1712
             when x"0884" => q <= x"6E";
1713
             when x"0885" => q <= x"FC";
1714
             when x"0886" => q <= x"DD";
1715
             when x"0887" => q <= x"66";
1716
             when x"0888" => q <= x"FD";
1717
             when x"0889" => q <= x"E5";
1718
             when x"088A" => q <= x"CD";
1719
             when x"088B" => q <= x"A2";
1720
             when x"088C" => q <= x"0D";
1721
             when x"088D" => q <= x"F1";
1722
             when x"088E" => q <= x"26";
1723
             when x"088F" => q <= x"20";
1724
             when x"0890" => q <= x"E3";
1725
             when x"0891" => q <= x"33";
1726
             when x"0892" => q <= x"CD";
1727
             when x"0893" => q <= x"BB";
1728
             when x"0894" => q <= x"0D";
1729
             when x"0895" => q <= x"33";
1730
             when x"0896" => q <= x"D1";
1731
             when x"0897" => q <= x"DD";
1732
             when x"0898" => q <= x"34";
1733
             when x"0899" => q <= x"FC";
1734
             when x"089A" => q <= x"20";
1735
             when x"089B" => q <= x"03";
1736
             when x"089C" => q <= x"DD";
1737
             when x"089D" => q <= x"34";
1738
             when x"089E" => q <= x"FD";
1739
             when x"089F" => q <= x"DD";
1740
             when x"08A0" => q <= x"4E";
1741
             when x"08A1" => q <= x"FC";
1742
             when x"08A2" => q <= x"C5";
1743
             when x"08A3" => q <= x"79";
1744
             when x"08A4" => q <= x"F5";
1745
             when x"08A5" => q <= x"33";
1746
             when x"08A6" => q <= x"D5";
1747
             when x"08A7" => q <= x"CD";
1748
             when x"08A8" => q <= x"79";
1749
             when x"08A9" => q <= x"0D";
1750
             when x"08AA" => q <= x"F1";
1751
             when x"08AB" => q <= x"33";
1752
             when x"08AC" => q <= x"C1";
1753
             when x"08AD" => q <= x"DD";
1754
             when x"08AE" => q <= x"7E";
1755
             when x"08AF" => q <= x"FA";
1756
             when x"08B0" => q <= x"DD";
1757
             when x"08B1" => q <= x"46";
1758
             when x"08B2" => q <= x"FE";
1759
             when x"08B3" => q <= x"F5";
1760
             when x"08B4" => q <= x"33";
1761
             when x"08B5" => q <= x"79";
1762
             when x"08B6" => q <= x"F5";
1763
             when x"08B7" => q <= x"33";
1764
             when x"08B8" => q <= x"C5";
1765
             when x"08B9" => q <= x"33";
1766
             when x"08BA" => q <= x"CD";
1767
             when x"08BB" => q <= x"8C";
1768
             when x"08BC" => q <= x"02";
1769
             when x"08BD" => q <= x"F1";
1770
             when x"08BE" => q <= x"33";
1771
             when x"08BF" => q <= x"C3";
1772
             when x"08C0" => q <= x"CD";
1773
             when x"08C1" => q <= x"0B";
1774
             when x"08C2" => q <= x"DD";
1775
             when x"08C3" => q <= x"7E";
1776
             when x"08C4" => q <= x"FA";
1777
             when x"08C5" => q <= x"D6";
1778
             when x"08C6" => q <= x"3A";
1779
             when x"08C7" => q <= x"DD";
1780
             when x"08C8" => q <= x"7E";
1781
             when x"08C9" => q <= x"FB";
1782
             when x"08CA" => q <= x"DE";
1783
             when x"08CB" => q <= x"00";
1784
             when x"08CC" => q <= x"E2";
1785
             when x"08CD" => q <= x"D1";
1786
             when x"08CE" => q <= x"08";
1787
             when x"08CF" => q <= x"EE";
1788
             when x"08D0" => q <= x"80";
1789
             when x"08D1" => q <= x"F2";
1790
             when x"08D2" => q <= x"CD";
1791
             when x"08D3" => q <= x"0B";
1792
             when x"08D4" => q <= x"DD";
1793
             when x"08D5" => q <= x"7E";
1794
             when x"08D6" => q <= x"FC";
1795
             when x"08D7" => q <= x"D6";
1796
             when x"08D8" => q <= x"4E";
1797
             when x"08D9" => q <= x"DD";
1798
             when x"08DA" => q <= x"7E";
1799
             when x"08DB" => q <= x"FD";
1800
             when x"08DC" => q <= x"DE";
1801
             when x"08DD" => q <= x"00";
1802
             when x"08DE" => q <= x"E2";
1803
             when x"08DF" => q <= x"E3";
1804
             when x"08E0" => q <= x"08";
1805
             when x"08E1" => q <= x"EE";
1806
             when x"08E2" => q <= x"80";
1807
             when x"08E3" => q <= x"F2";
1808
             when x"08E4" => q <= x"CD";
1809
             when x"08E5" => q <= x"0B";
1810
             when x"08E6" => q <= x"D5";
1811
             when x"08E7" => q <= x"DD";
1812
             when x"08E8" => q <= x"6E";
1813
             when x"08E9" => q <= x"FA";
1814
             when x"08EA" => q <= x"DD";
1815
             when x"08EB" => q <= x"66";
1816
             when x"08EC" => q <= x"FB";
1817
             when x"08ED" => q <= x"E5";
1818
             when x"08EE" => q <= x"DD";
1819
             when x"08EF" => q <= x"6E";
1820
             when x"08F0" => q <= x"FC";
1821
             when x"08F1" => q <= x"DD";
1822
             when x"08F2" => q <= x"66";
1823
             when x"08F3" => q <= x"FD";
1824
             when x"08F4" => q <= x"E5";
1825
             when x"08F5" => q <= x"CD";
1826
             when x"08F6" => q <= x"A2";
1827
             when x"08F7" => q <= x"0D";
1828
             when x"08F8" => q <= x"F1";
1829
             when x"08F9" => q <= x"26";
1830
             when x"08FA" => q <= x"20";
1831
             when x"08FB" => q <= x"E3";
1832
             when x"08FC" => q <= x"33";
1833
             when x"08FD" => q <= x"CD";
1834
             when x"08FE" => q <= x"BB";
1835
             when x"08FF" => q <= x"0D";
1836
             when x"0900" => q <= x"33";
1837
             when x"0901" => q <= x"3E";
1838
             when x"0902" => q <= x"20";
1839
             when x"0903" => q <= x"F5";
1840
             when x"0904" => q <= x"33";
1841
             when x"0905" => q <= x"CD";
1842
             when x"0906" => q <= x"BB";
1843
             when x"0907" => q <= x"0D";
1844
             when x"0908" => q <= x"33";
1845
             when x"0909" => q <= x"D1";
1846
             when x"090A" => q <= x"DD";
1847
             when x"090B" => q <= x"4E";
1848
             when x"090C" => q <= x"FA";
1849
             when x"090D" => q <= x"DD";
1850
             when x"090E" => q <= x"46";
1851
             when x"090F" => q <= x"FB";
1852
             when x"0910" => q <= x"03";
1853
             when x"0911" => q <= x"C5";
1854
             when x"0912" => q <= x"D5";
1855
             when x"0913" => q <= x"C5";
1856
             when x"0914" => q <= x"DD";
1857
             when x"0915" => q <= x"6E";
1858
             when x"0916" => q <= x"FC";
1859
             when x"0917" => q <= x"DD";
1860
             when x"0918" => q <= x"66";
1861
             when x"0919" => q <= x"FD";
1862
             when x"091A" => q <= x"E5";
1863
             when x"091B" => q <= x"CD";
1864
             when x"091C" => q <= x"A2";
1865
             when x"091D" => q <= x"0D";
1866
             when x"091E" => q <= x"F1";
1867
             when x"091F" => q <= x"26";
1868
             when x"0920" => q <= x"20";
1869
             when x"0921" => q <= x"E3";
1870
             when x"0922" => q <= x"33";
1871
             when x"0923" => q <= x"CD";
1872
             when x"0924" => q <= x"BB";
1873
             when x"0925" => q <= x"0D";
1874
             when x"0926" => q <= x"33";
1875
             when x"0927" => q <= x"D1";
1876
             when x"0928" => q <= x"C1";
1877
             when x"0929" => q <= x"DD";
1878
             when x"092A" => q <= x"71";
1879
             when x"092B" => q <= x"FA";
1880
             when x"092C" => q <= x"DD";
1881
             when x"092D" => q <= x"70";
1882
             when x"092E" => q <= x"FB";
1883
             when x"092F" => q <= x"DD";
1884
             when x"0930" => q <= x"34";
1885
             when x"0931" => q <= x"FC";
1886
             when x"0932" => q <= x"20";
1887
             when x"0933" => q <= x"03";
1888
             when x"0934" => q <= x"DD";
1889
             when x"0935" => q <= x"34";
1890
             when x"0936" => q <= x"FD";
1891
             when x"0937" => q <= x"DD";
1892
             when x"0938" => q <= x"4E";
1893
             when x"0939" => q <= x"FC";
1894
             when x"093A" => q <= x"C5";
1895
             when x"093B" => q <= x"79";
1896
             when x"093C" => q <= x"F5";
1897
             when x"093D" => q <= x"33";
1898
             when x"093E" => q <= x"D5";
1899
             when x"093F" => q <= x"CD";
1900
             when x"0940" => q <= x"79";
1901
             when x"0941" => q <= x"0D";
1902
             when x"0942" => q <= x"F1";
1903
             when x"0943" => q <= x"33";
1904
             when x"0944" => q <= x"C1";
1905
             when x"0945" => q <= x"DD";
1906
             when x"0946" => q <= x"5E";
1907
             when x"0947" => q <= x"FA";
1908
             when x"0948" => q <= x"C5";
1909
             when x"0949" => q <= x"D5";
1910
             when x"094A" => q <= x"7B";
1911
             when x"094B" => q <= x"F5";
1912
             when x"094C" => q <= x"33";
1913
             when x"094D" => q <= x"DD";
1914
             when x"094E" => q <= x"6E";
1915
             when x"094F" => q <= x"F4";
1916
             when x"0950" => q <= x"DD";
1917
             when x"0951" => q <= x"66";
1918
             when x"0952" => q <= x"F5";
1919
             when x"0953" => q <= x"E5";
1920
             when x"0954" => q <= x"CD";
1921
             when x"0955" => q <= x"79";
1922
             when x"0956" => q <= x"0D";
1923
             when x"0957" => q <= x"F1";
1924
             when x"0958" => q <= x"33";
1925
             when x"0959" => q <= x"D1";
1926
             when x"095A" => q <= x"C1";
1927
             when x"095B" => q <= x"DD";
1928
             when x"095C" => q <= x"46";
1929
             when x"095D" => q <= x"FE";
1930
             when x"095E" => q <= x"7B";
1931
             when x"095F" => q <= x"F5";
1932
             when x"0960" => q <= x"33";
1933
             when x"0961" => q <= x"79";
1934
             when x"0962" => q <= x"F5";
1935
             when x"0963" => q <= x"33";
1936
             when x"0964" => q <= x"C5";
1937
             when x"0965" => q <= x"33";
1938
             when x"0966" => q <= x"CD";
1939
             when x"0967" => q <= x"8C";
1940
             when x"0968" => q <= x"02";
1941
             when x"0969" => q <= x"F1";
1942
             when x"096A" => q <= x"33";
1943
             when x"096B" => q <= x"C3";
1944
             when x"096C" => q <= x"CD";
1945
             when x"096D" => q <= x"0B";
1946
             when x"096E" => q <= x"DD";
1947
             when x"096F" => q <= x"7E";
1948
             when x"0970" => q <= x"FA";
1949
             when x"0971" => q <= x"D6";
1950
             when x"0972" => q <= x"3A";
1951
             when x"0973" => q <= x"DD";
1952
             when x"0974" => q <= x"7E";
1953
             when x"0975" => q <= x"FB";
1954
             when x"0976" => q <= x"DE";
1955
             when x"0977" => q <= x"00";
1956
             when x"0978" => q <= x"E2";
1957
             when x"0979" => q <= x"7D";
1958
             when x"097A" => q <= x"09";
1959
             when x"097B" => q <= x"EE";
1960
             when x"097C" => q <= x"80";
1961
             when x"097D" => q <= x"F2";
1962
             when x"097E" => q <= x"CD";
1963
             when x"097F" => q <= x"0B";
1964
             when x"0980" => q <= x"DD";
1965
             when x"0981" => q <= x"6E";
1966
             when x"0982" => q <= x"FA";
1967
             when x"0983" => q <= x"DD";
1968
             when x"0984" => q <= x"66";
1969
             when x"0985" => q <= x"FB";
1970
             when x"0986" => q <= x"E5";
1971
             when x"0987" => q <= x"DD";
1972
             when x"0988" => q <= x"6E";
1973
             when x"0989" => q <= x"FC";
1974
             when x"098A" => q <= x"DD";
1975
             when x"098B" => q <= x"66";
1976
             when x"098C" => q <= x"FD";
1977
             when x"098D" => q <= x"E5";
1978
             when x"098E" => q <= x"CD";
1979
             when x"098F" => q <= x"A2";
1980
             when x"0990" => q <= x"0D";
1981
             when x"0991" => q <= x"F1";
1982
             when x"0992" => q <= x"26";
1983
             when x"0993" => q <= x"20";
1984
             when x"0994" => q <= x"E3";
1985
             when x"0995" => q <= x"33";
1986
             when x"0996" => q <= x"CD";
1987
             when x"0997" => q <= x"BB";
1988
             when x"0998" => q <= x"0D";
1989
             when x"0999" => q <= x"33";
1990
             when x"099A" => q <= x"3E";
1991
             when x"099B" => q <= x"20";
1992
             when x"099C" => q <= x"F5";
1993
             when x"099D" => q <= x"33";
1994
             when x"099E" => q <= x"CD";
1995
             when x"099F" => q <= x"BB";
1996
             when x"09A0" => q <= x"0D";
1997
             when x"09A1" => q <= x"33";
1998
             when x"09A2" => q <= x"DD";
1999
             when x"09A3" => q <= x"34";
2000
             when x"09A4" => q <= x"FA";
2001
             when x"09A5" => q <= x"20";
2002
             when x"09A6" => q <= x"03";
2003
             when x"09A7" => q <= x"DD";
2004
             when x"09A8" => q <= x"34";
2005
             when x"09A9" => q <= x"FB";
2006
             when x"09AA" => q <= x"DD";
2007
             when x"09AB" => q <= x"4E";
2008
             when x"09AC" => q <= x"FA";
2009
             when x"09AD" => q <= x"C5";
2010
             when x"09AE" => q <= x"79";
2011
             when x"09AF" => q <= x"F5";
2012
             when x"09B0" => q <= x"33";
2013
             when x"09B1" => q <= x"DD";
2014
             when x"09B2" => q <= x"6E";
2015
             when x"09B3" => q <= x"F4";
2016
             when x"09B4" => q <= x"DD";
2017
             when x"09B5" => q <= x"66";
2018
             when x"09B6" => q <= x"F5";
2019
             when x"09B7" => q <= x"E5";
2020
             when x"09B8" => q <= x"CD";
2021
             when x"09B9" => q <= x"79";
2022
             when x"09BA" => q <= x"0D";
2023
             when x"09BB" => q <= x"F1";
2024
             when x"09BC" => q <= x"33";
2025
             when x"09BD" => q <= x"C1";
2026
             when x"09BE" => q <= x"DD";
2027
             when x"09BF" => q <= x"5E";
2028
             when x"09C0" => q <= x"FC";
2029
             when x"09C1" => q <= x"DD";
2030
             when x"09C2" => q <= x"46";
2031
             when x"09C3" => q <= x"FE";
2032
             when x"09C4" => q <= x"51";
2033
             when x"09C5" => q <= x"D5";
2034
             when x"09C6" => q <= x"C5";
2035
             when x"09C7" => q <= x"33";
2036
             when x"09C8" => q <= x"CD";
2037
             when x"09C9" => q <= x"8C";
2038
             when x"09CA" => q <= x"02";
2039
             when x"09CB" => q <= x"F1";
2040
             when x"09CC" => q <= x"33";
2041
             when x"09CD" => q <= x"C3";
2042
             when x"09CE" => q <= x"CD";
2043
             when x"09CF" => q <= x"0B";
2044
             when x"09D0" => q <= x"DD";
2045
             when x"09D1" => q <= x"7E";
2046
             when x"09D2" => q <= x"FA";
2047
             when x"09D3" => q <= x"D6";
2048
             when x"09D4" => q <= x"3A";
2049
             when x"09D5" => q <= x"DD";
2050
             when x"09D6" => q <= x"7E";
2051
             when x"09D7" => q <= x"FB";
2052
             when x"09D8" => q <= x"DE";
2053
             when x"09D9" => q <= x"00";
2054
             when x"09DA" => q <= x"E2";
2055
             when x"09DB" => q <= x"DF";
2056
             when x"09DC" => q <= x"09";
2057
             when x"09DD" => q <= x"EE";
2058
             when x"09DE" => q <= x"80";
2059
             when x"09DF" => q <= x"F2";
2060
             when x"09E0" => q <= x"CD";
2061
             when x"09E1" => q <= x"0B";
2062
             when x"09E2" => q <= x"3E";
2063
             when x"09E3" => q <= x"00";
2064
             when x"09E4" => q <= x"DD";
2065
             when x"09E5" => q <= x"96";
2066
             when x"09E6" => q <= x"FC";
2067
             when x"09E7" => q <= x"3E";
2068
             when x"09E8" => q <= x"00";
2069
             when x"09E9" => q <= x"DD";
2070
             when x"09EA" => q <= x"9E";
2071
             when x"09EB" => q <= x"FD";
2072
             when x"09EC" => q <= x"E2";
2073
             when x"09ED" => q <= x"F1";
2074
             when x"09EE" => q <= x"09";
2075
             when x"09EF" => q <= x"EE";
2076
             when x"09F0" => q <= x"80";
2077
             when x"09F1" => q <= x"F2";
2078
             when x"09F2" => q <= x"CD";
2079
             when x"09F3" => q <= x"0B";
2080
             when x"09F4" => q <= x"D5";
2081
             when x"09F5" => q <= x"DD";
2082
             when x"09F6" => q <= x"6E";
2083
             when x"09F7" => q <= x"FA";
2084
             when x"09F8" => q <= x"DD";
2085
             when x"09F9" => q <= x"66";
2086
             when x"09FA" => q <= x"FB";
2087
             when x"09FB" => q <= x"E5";
2088
             when x"09FC" => q <= x"DD";
2089
             when x"09FD" => q <= x"6E";
2090
             when x"09FE" => q <= x"FC";
2091
             when x"09FF" => q <= x"DD";
2092
             when x"0A00" => q <= x"66";
2093
             when x"0A01" => q <= x"FD";
2094
             when x"0A02" => q <= x"E5";
2095
             when x"0A03" => q <= x"CD";
2096
             when x"0A04" => q <= x"A2";
2097
             when x"0A05" => q <= x"0D";
2098
             when x"0A06" => q <= x"F1";
2099
             when x"0A07" => q <= x"26";
2100
             when x"0A08" => q <= x"20";
2101
             when x"0A09" => q <= x"E3";
2102
             when x"0A0A" => q <= x"33";
2103
             when x"0A0B" => q <= x"CD";
2104
             when x"0A0C" => q <= x"BB";
2105
             when x"0A0D" => q <= x"0D";
2106
             when x"0A0E" => q <= x"33";
2107
             when x"0A0F" => q <= x"3E";
2108
             when x"0A10" => q <= x"20";
2109
             when x"0A11" => q <= x"F5";
2110
             when x"0A12" => q <= x"33";
2111
             when x"0A13" => q <= x"CD";
2112
             when x"0A14" => q <= x"BB";
2113
             when x"0A15" => q <= x"0D";
2114
             when x"0A16" => q <= x"33";
2115
             when x"0A17" => q <= x"D1";
2116
             when x"0A18" => q <= x"DD";
2117
             when x"0A19" => q <= x"7E";
2118
             when x"0A1A" => q <= x"FA";
2119
             when x"0A1B" => q <= x"C6";
2120
             when x"0A1C" => q <= x"01";
2121
             when x"0A1D" => q <= x"DD";
2122
             when x"0A1E" => q <= x"77";
2123
             when x"0A1F" => q <= x"F2";
2124
             when x"0A20" => q <= x"DD";
2125
             when x"0A21" => q <= x"7E";
2126
             when x"0A22" => q <= x"FB";
2127
             when x"0A23" => q <= x"CE";
2128
             when x"0A24" => q <= x"00";
2129
             when x"0A25" => q <= x"DD";
2130
             when x"0A26" => q <= x"77";
2131
             when x"0A27" => q <= x"F3";
2132
             when x"0A28" => q <= x"DD";
2133
             when x"0A29" => q <= x"4E";
2134
             when x"0A2A" => q <= x"FC";
2135
             when x"0A2B" => q <= x"DD";
2136
             when x"0A2C" => q <= x"46";
2137
             when x"0A2D" => q <= x"FD";
2138
             when x"0A2E" => q <= x"03";
2139
             when x"0A2F" => q <= x"D5";
2140
             when x"0A30" => q <= x"DD";
2141
             when x"0A31" => q <= x"6E";
2142
             when x"0A32" => q <= x"F2";
2143
             when x"0A33" => q <= x"DD";
2144
             when x"0A34" => q <= x"66";
2145
             when x"0A35" => q <= x"F3";
2146
             when x"0A36" => q <= x"E5";
2147
             when x"0A37" => q <= x"C5";
2148
             when x"0A38" => q <= x"CD";
2149
             when x"0A39" => q <= x"A2";
2150
             when x"0A3A" => q <= x"0D";
2151
             when x"0A3B" => q <= x"F1";
2152
             when x"0A3C" => q <= x"26";
2153
             when x"0A3D" => q <= x"20";
2154
             when x"0A3E" => q <= x"E3";
2155
             when x"0A3F" => q <= x"33";
2156
             when x"0A40" => q <= x"CD";
2157
             when x"0A41" => q <= x"BB";
2158
             when x"0A42" => q <= x"0D";
2159
             when x"0A43" => q <= x"33";
2160
             when x"0A44" => q <= x"D1";
2161
             when x"0A45" => q <= x"DD";
2162
             when x"0A46" => q <= x"6E";
2163
             when x"0A47" => q <= x"F2";
2164
             when x"0A48" => q <= x"DD";
2165
             when x"0A49" => q <= x"66";
2166
             when x"0A4A" => q <= x"F3";
2167
             when x"0A4B" => q <= x"DD";
2168
             when x"0A4C" => q <= x"75";
2169
             when x"0A4D" => q <= x"FA";
2170
             when x"0A4E" => q <= x"DD";
2171
             when x"0A4F" => q <= x"74";
2172
             when x"0A50" => q <= x"FB";
2173
             when x"0A51" => q <= x"DD";
2174
             when x"0A52" => q <= x"6E";
2175
             when x"0A53" => q <= x"FC";
2176
             when x"0A54" => q <= x"DD";
2177
             when x"0A55" => q <= x"66";
2178
             when x"0A56" => q <= x"FD";
2179
             when x"0A57" => q <= x"2B";
2180
             when x"0A58" => q <= x"DD";
2181
             when x"0A59" => q <= x"75";
2182
             when x"0A5A" => q <= x"FC";
2183
             when x"0A5B" => q <= x"DD";
2184
             when x"0A5C" => q <= x"74";
2185
             when x"0A5D" => q <= x"FD";
2186
             when x"0A5E" => q <= x"DD";
2187
             when x"0A5F" => q <= x"4E";
2188
             when x"0A60" => q <= x"FC";
2189
             when x"0A61" => q <= x"C5";
2190
             when x"0A62" => q <= x"79";
2191
             when x"0A63" => q <= x"F5";
2192
             when x"0A64" => q <= x"33";
2193
             when x"0A65" => q <= x"D5";
2194
             when x"0A66" => q <= x"CD";
2195
             when x"0A67" => q <= x"79";
2196
             when x"0A68" => q <= x"0D";
2197
             when x"0A69" => q <= x"F1";
2198
             when x"0A6A" => q <= x"33";
2199
             when x"0A6B" => q <= x"C1";
2200
             when x"0A6C" => q <= x"DD";
2201
             when x"0A6D" => q <= x"5E";
2202
             when x"0A6E" => q <= x"FA";
2203
             when x"0A6F" => q <= x"C5";
2204
             when x"0A70" => q <= x"D5";
2205
             when x"0A71" => q <= x"7B";
2206
             when x"0A72" => q <= x"F5";
2207
             when x"0A73" => q <= x"33";
2208
             when x"0A74" => q <= x"DD";
2209
             when x"0A75" => q <= x"6E";
2210
             when x"0A76" => q <= x"F4";
2211
             when x"0A77" => q <= x"DD";
2212
             when x"0A78" => q <= x"66";
2213
             when x"0A79" => q <= x"F5";
2214
             when x"0A7A" => q <= x"E5";
2215
             when x"0A7B" => q <= x"CD";
2216
             when x"0A7C" => q <= x"79";
2217
             when x"0A7D" => q <= x"0D";
2218
             when x"0A7E" => q <= x"F1";
2219
             when x"0A7F" => q <= x"33";
2220
             when x"0A80" => q <= x"D1";
2221
             when x"0A81" => q <= x"C1";
2222
             when x"0A82" => q <= x"DD";
2223
             when x"0A83" => q <= x"46";
2224
             when x"0A84" => q <= x"FE";
2225
             when x"0A85" => q <= x"7B";
2226
             when x"0A86" => q <= x"F5";
2227
             when x"0A87" => q <= x"33";
2228
             when x"0A88" => q <= x"79";
2229
             when x"0A89" => q <= x"F5";
2230
             when x"0A8A" => q <= x"33";
2231
             when x"0A8B" => q <= x"C5";
2232
             when x"0A8C" => q <= x"33";
2233
             when x"0A8D" => q <= x"CD";
2234
             when x"0A8E" => q <= x"8C";
2235
             when x"0A8F" => q <= x"02";
2236
             when x"0A90" => q <= x"F1";
2237
             when x"0A91" => q <= x"33";
2238
             when x"0A92" => q <= x"C3";
2239
             when x"0A93" => q <= x"CD";
2240
             when x"0A94" => q <= x"0B";
2241
             when x"0A95" => q <= x"3E";
2242
             when x"0A96" => q <= x"00";
2243
             when x"0A97" => q <= x"DD";
2244
             when x"0A98" => q <= x"96";
2245
             when x"0A99" => q <= x"FC";
2246
             when x"0A9A" => q <= x"3E";
2247
             when x"0A9B" => q <= x"00";
2248
             when x"0A9C" => q <= x"DD";
2249
             when x"0A9D" => q <= x"9E";
2250
             when x"0A9E" => q <= x"FD";
2251
             when x"0A9F" => q <= x"E2";
2252
             when x"0AA0" => q <= x"A4";
2253
             when x"0AA1" => q <= x"0A";
2254
             when x"0AA2" => q <= x"EE";
2255
             when x"0AA3" => q <= x"80";
2256
             when x"0AA4" => q <= x"F2";
2257
             when x"0AA5" => q <= x"CD";
2258
             when x"0AA6" => q <= x"0B";
2259
             when x"0AA7" => q <= x"DD";
2260
             when x"0AA8" => q <= x"7E";
2261
             when x"0AA9" => q <= x"FC";
2262
             when x"0AAA" => q <= x"C6";
2263
             when x"0AAB" => q <= x"01";
2264
             when x"0AAC" => q <= x"DD";
2265
             when x"0AAD" => q <= x"77";
2266
             when x"0AAE" => q <= x"F2";
2267
             when x"0AAF" => q <= x"DD";
2268
             when x"0AB0" => q <= x"7E";
2269
             when x"0AB1" => q <= x"FD";
2270
             when x"0AB2" => q <= x"CE";
2271
             when x"0AB3" => q <= x"00";
2272
             when x"0AB4" => q <= x"DD";
2273
             when x"0AB5" => q <= x"77";
2274
             when x"0AB6" => q <= x"F3";
2275
             when x"0AB7" => q <= x"D5";
2276
             when x"0AB8" => q <= x"DD";
2277
             when x"0AB9" => q <= x"6E";
2278
             when x"0ABA" => q <= x"FA";
2279
             when x"0ABB" => q <= x"DD";
2280
             when x"0ABC" => q <= x"66";
2281
             when x"0ABD" => q <= x"FB";
2282
             when x"0ABE" => q <= x"E5";
2283
             when x"0ABF" => q <= x"DD";
2284
             when x"0AC0" => q <= x"6E";
2285
             when x"0AC1" => q <= x"F2";
2286
             when x"0AC2" => q <= x"DD";
2287
             when x"0AC3" => q <= x"66";
2288
             when x"0AC4" => q <= x"F3";
2289
             when x"0AC5" => q <= x"E5";
2290
             when x"0AC6" => q <= x"CD";
2291
             when x"0AC7" => q <= x"A2";
2292
             when x"0AC8" => q <= x"0D";
2293
             when x"0AC9" => q <= x"F1";
2294
             when x"0ACA" => q <= x"26";
2295
             when x"0ACB" => q <= x"20";
2296
             when x"0ACC" => q <= x"E3";
2297
             when x"0ACD" => q <= x"33";
2298
             when x"0ACE" => q <= x"CD";
2299
             when x"0ACF" => q <= x"BB";
2300
             when x"0AD0" => q <= x"0D";
2301
             when x"0AD1" => q <= x"33";
2302
             when x"0AD2" => q <= x"D1";
2303
             when x"0AD3" => q <= x"DD";
2304
             when x"0AD4" => q <= x"4E";
2305
             when x"0AD5" => q <= x"FA";
2306
             when x"0AD6" => q <= x"DD";
2307
             when x"0AD7" => q <= x"46";
2308
             when x"0AD8" => q <= x"FB";
2309
             when x"0AD9" => q <= x"03";
2310
             when x"0ADA" => q <= x"D5";
2311
             when x"0ADB" => q <= x"C5";
2312
             when x"0ADC" => q <= x"DD";
2313
             when x"0ADD" => q <= x"6E";
2314
             when x"0ADE" => q <= x"F2";
2315
             when x"0ADF" => q <= x"DD";
2316
             when x"0AE0" => q <= x"66";
2317
             when x"0AE1" => q <= x"F3";
2318
             when x"0AE2" => q <= x"E5";
2319
             when x"0AE3" => q <= x"CD";
2320
             when x"0AE4" => q <= x"A2";
2321
             when x"0AE5" => q <= x"0D";
2322
             when x"0AE6" => q <= x"F1";
2323
             when x"0AE7" => q <= x"26";
2324
             when x"0AE8" => q <= x"20";
2325
             when x"0AE9" => q <= x"E3";
2326
             when x"0AEA" => q <= x"33";
2327
             when x"0AEB" => q <= x"CD";
2328
             when x"0AEC" => q <= x"BB";
2329
             when x"0AED" => q <= x"0D";
2330
             when x"0AEE" => q <= x"33";
2331
             when x"0AEF" => q <= x"D1";
2332
             when x"0AF0" => q <= x"DD";
2333
             when x"0AF1" => q <= x"6E";
2334
             when x"0AF2" => q <= x"FC";
2335
             when x"0AF3" => q <= x"DD";
2336
             when x"0AF4" => q <= x"66";
2337
             when x"0AF5" => q <= x"FD";
2338
             when x"0AF6" => q <= x"2B";
2339
             when x"0AF7" => q <= x"DD";
2340
             when x"0AF8" => q <= x"75";
2341
             when x"0AF9" => q <= x"FC";
2342
             when x"0AFA" => q <= x"DD";
2343
             when x"0AFB" => q <= x"74";
2344
             when x"0AFC" => q <= x"FD";
2345
             when x"0AFD" => q <= x"DD";
2346
             when x"0AFE" => q <= x"4E";
2347
             when x"0AFF" => q <= x"FC";
2348
             when x"0B00" => q <= x"C5";
2349
             when x"0B01" => q <= x"79";
2350
             when x"0B02" => q <= x"F5";
2351
             when x"0B03" => q <= x"33";
2352
             when x"0B04" => q <= x"D5";
2353
             when x"0B05" => q <= x"CD";
2354
             when x"0B06" => q <= x"79";
2355
             when x"0B07" => q <= x"0D";
2356
             when x"0B08" => q <= x"F1";
2357
             when x"0B09" => q <= x"33";
2358
             when x"0B0A" => q <= x"C1";
2359
             when x"0B0B" => q <= x"DD";
2360
             when x"0B0C" => q <= x"7E";
2361
             when x"0B0D" => q <= x"FA";
2362
             when x"0B0E" => q <= x"DD";
2363
             when x"0B0F" => q <= x"46";
2364
             when x"0B10" => q <= x"FE";
2365
             when x"0B11" => q <= x"F5";
2366
             when x"0B12" => q <= x"33";
2367
             when x"0B13" => q <= x"79";
2368
             when x"0B14" => q <= x"F5";
2369
             when x"0B15" => q <= x"33";
2370
             when x"0B16" => q <= x"C5";
2371
             when x"0B17" => q <= x"33";
2372
             when x"0B18" => q <= x"CD";
2373
             when x"0B19" => q <= x"8C";
2374
             when x"0B1A" => q <= x"02";
2375
             when x"0B1B" => q <= x"F1";
2376
             when x"0B1C" => q <= x"33";
2377
             when x"0B1D" => q <= x"C3";
2378
             when x"0B1E" => q <= x"CD";
2379
             when x"0B1F" => q <= x"0B";
2380
             when x"0B20" => q <= x"DD";
2381
             when x"0B21" => q <= x"7E";
2382
             when x"0B22" => q <= x"FA";
2383
             when x"0B23" => q <= x"D6";
2384
             when x"0B24" => q <= x"3A";
2385
             when x"0B25" => q <= x"DD";
2386
             when x"0B26" => q <= x"7E";
2387
             when x"0B27" => q <= x"FB";
2388
             when x"0B28" => q <= x"DE";
2389
             when x"0B29" => q <= x"00";
2390
             when x"0B2A" => q <= x"E2";
2391
             when x"0B2B" => q <= x"2F";
2392
             when x"0B2C" => q <= x"0B";
2393
             when x"0B2D" => q <= x"EE";
2394
             when x"0B2E" => q <= x"80";
2395
             when x"0B2F" => q <= x"F2";
2396
             when x"0B30" => q <= x"CD";
2397
             when x"0B31" => q <= x"0B";
2398
             when x"0B32" => q <= x"3E";
2399
             when x"0B33" => q <= x"00";
2400
             when x"0B34" => q <= x"DD";
2401
             when x"0B35" => q <= x"96";
2402
             when x"0B36" => q <= x"FC";
2403
             when x"0B37" => q <= x"3E";
2404
             when x"0B38" => q <= x"00";
2405
             when x"0B39" => q <= x"DD";
2406
             when x"0B3A" => q <= x"9E";
2407
             when x"0B3B" => q <= x"FD";
2408
             when x"0B3C" => q <= x"E2";
2409
             when x"0B3D" => q <= x"41";
2410
             when x"0B3E" => q <= x"0B";
2411
             when x"0B3F" => q <= x"EE";
2412
             when x"0B40" => q <= x"80";
2413
             when x"0B41" => q <= x"F2";
2414
             when x"0B42" => q <= x"CD";
2415
             when x"0B43" => q <= x"0B";
2416
             when x"0B44" => q <= x"DD";
2417
             when x"0B45" => q <= x"4E";
2418
             when x"0B46" => q <= x"FC";
2419
             when x"0B47" => q <= x"DD";
2420
             when x"0B48" => q <= x"46";
2421
             when x"0B49" => q <= x"FD";
2422
             when x"0B4A" => q <= x"03";
2423
             when x"0B4B" => q <= x"D5";
2424
             when x"0B4C" => q <= x"DD";
2425
             when x"0B4D" => q <= x"6E";
2426
             when x"0B4E" => q <= x"FA";
2427
             when x"0B4F" => q <= x"DD";
2428
             when x"0B50" => q <= x"66";
2429
             when x"0B51" => q <= x"FB";
2430
             when x"0B52" => q <= x"E5";
2431
             when x"0B53" => q <= x"C5";
2432
             when x"0B54" => q <= x"CD";
2433
             when x"0B55" => q <= x"A2";
2434
             when x"0B56" => q <= x"0D";
2435
             when x"0B57" => q <= x"F1";
2436
             when x"0B58" => q <= x"26";
2437
             when x"0B59" => q <= x"20";
2438
             when x"0B5A" => q <= x"E3";
2439
             when x"0B5B" => q <= x"33";
2440
             when x"0B5C" => q <= x"CD";
2441
             when x"0B5D" => q <= x"BB";
2442
             when x"0B5E" => q <= x"0D";
2443
             when x"0B5F" => q <= x"33";
2444
             when x"0B60" => q <= x"D1";
2445
             when x"0B61" => q <= x"DD";
2446
             when x"0B62" => q <= x"4E";
2447
             when x"0B63" => q <= x"FA";
2448
             when x"0B64" => q <= x"DD";
2449
             when x"0B65" => q <= x"46";
2450
             when x"0B66" => q <= x"FB";
2451
             when x"0B67" => q <= x"03";
2452
             when x"0B68" => q <= x"D5";
2453
             when x"0B69" => q <= x"C5";
2454
             when x"0B6A" => q <= x"DD";
2455
             when x"0B6B" => q <= x"6E";
2456
             when x"0B6C" => q <= x"FC";
2457
             when x"0B6D" => q <= x"DD";
2458
             when x"0B6E" => q <= x"66";
2459
             when x"0B6F" => q <= x"FD";
2460
             when x"0B70" => q <= x"E5";
2461
             when x"0B71" => q <= x"CD";
2462
             when x"0B72" => q <= x"A2";
2463
             when x"0B73" => q <= x"0D";
2464
             when x"0B74" => q <= x"F1";
2465
             when x"0B75" => q <= x"26";
2466
             when x"0B76" => q <= x"20";
2467
             when x"0B77" => q <= x"E3";
2468
             when x"0B78" => q <= x"33";
2469
             when x"0B79" => q <= x"CD";
2470
             when x"0B7A" => q <= x"BB";
2471
             when x"0B7B" => q <= x"0D";
2472
             when x"0B7C" => q <= x"33";
2473
             when x"0B7D" => q <= x"3E";
2474
             when x"0B7E" => q <= x"20";
2475
             when x"0B7F" => q <= x"F5";
2476
             when x"0B80" => q <= x"33";
2477
             when x"0B81" => q <= x"CD";
2478
             when x"0B82" => q <= x"BB";
2479
             when x"0B83" => q <= x"0D";
2480
             when x"0B84" => q <= x"33";
2481
             when x"0B85" => q <= x"D1";
2482
             when x"0B86" => q <= x"DD";
2483
             when x"0B87" => q <= x"6E";
2484
             when x"0B88" => q <= x"FA";
2485
             when x"0B89" => q <= x"DD";
2486
             when x"0B8A" => q <= x"66";
2487
             when x"0B8B" => q <= x"FB";
2488
             when x"0B8C" => q <= x"2B";
2489
             when x"0B8D" => q <= x"DD";
2490
             when x"0B8E" => q <= x"75";
2491
             when x"0B8F" => q <= x"FA";
2492
             when x"0B90" => q <= x"DD";
2493
             when x"0B91" => q <= x"74";
2494
             when x"0B92" => q <= x"FB";
2495
             when x"0B93" => q <= x"DD";
2496
             when x"0B94" => q <= x"6E";
2497
             when x"0B95" => q <= x"FC";
2498
             when x"0B96" => q <= x"DD";
2499
             when x"0B97" => q <= x"66";
2500
             when x"0B98" => q <= x"FD";
2501
             when x"0B99" => q <= x"2B";
2502
             when x"0B9A" => q <= x"DD";
2503
             when x"0B9B" => q <= x"75";
2504
             when x"0B9C" => q <= x"FC";
2505
             when x"0B9D" => q <= x"DD";
2506
             when x"0B9E" => q <= x"74";
2507
             when x"0B9F" => q <= x"FD";
2508
             when x"0BA0" => q <= x"DD";
2509
             when x"0BA1" => q <= x"4E";
2510
             when x"0BA2" => q <= x"FC";
2511
             when x"0BA3" => q <= x"C5";
2512
             when x"0BA4" => q <= x"79";
2513
             when x"0BA5" => q <= x"F5";
2514
             when x"0BA6" => q <= x"33";
2515
             when x"0BA7" => q <= x"D5";
2516
             when x"0BA8" => q <= x"CD";
2517
             when x"0BA9" => q <= x"79";
2518
             when x"0BAA" => q <= x"0D";
2519
             when x"0BAB" => q <= x"F1";
2520
             when x"0BAC" => q <= x"33";
2521
             when x"0BAD" => q <= x"C1";
2522
             when x"0BAE" => q <= x"DD";
2523
             when x"0BAF" => q <= x"46";
2524
             when x"0BB0" => q <= x"FA";
2525
             when x"0BB1" => q <= x"C5";
2526
             when x"0BB2" => q <= x"C5";
2527
             when x"0BB3" => q <= x"33";
2528
             when x"0BB4" => q <= x"DD";
2529
             when x"0BB5" => q <= x"6E";
2530
             when x"0BB6" => q <= x"F4";
2531
             when x"0BB7" => q <= x"DD";
2532
             when x"0BB8" => q <= x"66";
2533
             when x"0BB9" => q <= x"F5";
2534
             when x"0BBA" => q <= x"E5";
2535
             when x"0BBB" => q <= x"CD";
2536
             when x"0BBC" => q <= x"79";
2537
             when x"0BBD" => q <= x"0D";
2538
             when x"0BBE" => q <= x"F1";
2539
             when x"0BBF" => q <= x"33";
2540
             when x"0BC0" => q <= x"C1";
2541
             when x"0BC1" => q <= x"DD";
2542
             when x"0BC2" => q <= x"5E";
2543
             when x"0BC3" => q <= x"FE";
2544
             when x"0BC4" => q <= x"C5";
2545
             when x"0BC5" => q <= x"33";
2546
             when x"0BC6" => q <= x"51";
2547
             when x"0BC7" => q <= x"D5";
2548
             when x"0BC8" => q <= x"CD";
2549
             when x"0BC9" => q <= x"8C";
2550
             when x"0BCA" => q <= x"02";
2551
             when x"0BCB" => q <= x"F1";
2552
             when x"0BCC" => q <= x"33";
2553
             when x"0BCD" => q <= x"DD";
2554
             when x"0BCE" => q <= x"F9";
2555
             when x"0BCF" => q <= x"DD";
2556
             when x"0BD0" => q <= x"E1";
2557
             when x"0BD1" => q <= x"C9";
2558
             when x"0BD2" => q <= x"DD";
2559
             when x"0BD3" => q <= x"E5";
2560
             when x"0BD4" => q <= x"DD";
2561
             when x"0BD5" => q <= x"21";
2562
             when x"0BD6" => q <= x"00";
2563
             when x"0BD7" => q <= x"00";
2564
             when x"0BD8" => q <= x"DD";
2565
             when x"0BD9" => q <= x"39";
2566
             when x"0BDA" => q <= x"F5";
2567
             when x"0BDB" => q <= x"3B";
2568
             when x"0BDC" => q <= x"DD";
2569
             when x"0BDD" => q <= x"36";
2570
             when x"0BDE" => q <= x"FF";
2571
             when x"0BDF" => q <= x"00";
2572
             when x"0BE0" => q <= x"DD";
2573
             when x"0BE1" => q <= x"36";
2574
             when x"0BE2" => q <= x"FD";
2575
             when x"0BE3" => q <= x"00";
2576
             when x"0BE4" => q <= x"DD";
2577
             when x"0BE5" => q <= x"36";
2578
             when x"0BE6" => q <= x"FE";
2579
             when x"0BE7" => q <= x"00";
2580
             when x"0BE8" => q <= x"DD";
2581
             when x"0BE9" => q <= x"7E";
2582
             when x"0BEA" => q <= x"FF";
2583
             when x"0BEB" => q <= x"26";
2584
             when x"0BEC" => q <= x"00";
2585
             when x"0BED" => q <= x"DD";
2586
             when x"0BEE" => q <= x"96";
2587
             when x"0BEF" => q <= x"04";
2588
             when x"0BF0" => q <= x"7C";
2589
             when x"0BF1" => q <= x"DD";
2590
             when x"0BF2" => q <= x"9E";
2591
             when x"0BF3" => q <= x"05";
2592
             when x"0BF4" => q <= x"E2";
2593
             when x"0BF5" => q <= x"F9";
2594
             when x"0BF6" => q <= x"0B";
2595
             when x"0BF7" => q <= x"EE";
2596
             when x"0BF8" => q <= x"80";
2597
             when x"0BF9" => q <= x"F2";
2598
             when x"0BFA" => q <= x"72";
2599
             when x"0BFB" => q <= x"0C";
2600
             when x"0BFC" => q <= x"DD";
2601
             when x"0BFD" => q <= x"7E";
2602
             when x"0BFE" => q <= x"FD";
2603
             when x"0BFF" => q <= x"C6";
2604
             when x"0C00" => q <= x"00";
2605
             when x"0C01" => q <= x"47";
2606
             when x"0C02" => q <= x"DD";
2607
             when x"0C03" => q <= x"7E";
2608
             when x"0C04" => q <= x"FE";
2609
             when x"0C05" => q <= x"CE";
2610
             when x"0C06" => q <= x"60";
2611
             when x"0C07" => q <= x"5F";
2612
             when x"0C08" => q <= x"C5";
2613
             when x"0C09" => q <= x"D5";
2614
             when x"0C0A" => q <= x"3E";
2615
             when x"0C0B" => q <= x"27";
2616
             when x"0C0C" => q <= x"F5";
2617
             when x"0C0D" => q <= x"33";
2618
             when x"0C0E" => q <= x"68";
2619
             when x"0C0F" => q <= x"63";
2620
             when x"0C10" => q <= x"E5";
2621
             when x"0C11" => q <= x"CD";
2622
             when x"0C12" => q <= x"79";
2623
             when x"0C13" => q <= x"0D";
2624
             when x"0C14" => q <= x"F1";
2625
             when x"0C15" => q <= x"33";
2626
             when x"0C16" => q <= x"D1";
2627
             when x"0C17" => q <= x"C1";
2628
             when x"0C18" => q <= x"78";
2629
             when x"0C19" => q <= x"C6";
2630
             when x"0C1A" => q <= x"01";
2631
             when x"0C1B" => q <= x"57";
2632
             when x"0C1C" => q <= x"7B";
2633
             when x"0C1D" => q <= x"CE";
2634
             when x"0C1E" => q <= x"00";
2635
             when x"0C1F" => q <= x"4F";
2636
             when x"0C20" => q <= x"C5";
2637
             when x"0C21" => q <= x"D5";
2638
             when x"0C22" => q <= x"3E";
2639
             when x"0C23" => q <= x"19";
2640
             when x"0C24" => q <= x"F5";
2641
             when x"0C25" => q <= x"33";
2642
             when x"0C26" => q <= x"6A";
2643
             when x"0C27" => q <= x"61";
2644
             when x"0C28" => q <= x"E5";
2645
             when x"0C29" => q <= x"CD";
2646
             when x"0C2A" => q <= x"79";
2647
             when x"0C2B" => q <= x"0D";
2648
             when x"0C2C" => q <= x"F1";
2649
             when x"0C2D" => q <= x"33";
2650
             when x"0C2E" => q <= x"D1";
2651
             when x"0C2F" => q <= x"C1";
2652
             when x"0C30" => q <= x"78";
2653
             when x"0C31" => q <= x"C6";
2654
             when x"0C32" => q <= x"02";
2655
             when x"0C33" => q <= x"4F";
2656
             when x"0C34" => q <= x"7B";
2657
             when x"0C35" => q <= x"CE";
2658
             when x"0C36" => q <= x"00";
2659
             when x"0C37" => q <= x"57";
2660
             when x"0C38" => q <= x"C5";
2661
             when x"0C39" => q <= x"D5";
2662
             when x"0C3A" => q <= x"DD";
2663
             when x"0C3B" => q <= x"7E";
2664
             when x"0C3C" => q <= x"FF";
2665
             when x"0C3D" => q <= x"F5";
2666
             when x"0C3E" => q <= x"33";
2667
             when x"0C3F" => q <= x"59";
2668
             when x"0C40" => q <= x"D5";
2669
             when x"0C41" => q <= x"CD";
2670
             when x"0C42" => q <= x"79";
2671
             when x"0C43" => q <= x"0D";
2672
             when x"0C44" => q <= x"F1";
2673
             when x"0C45" => q <= x"33";
2674
             when x"0C46" => q <= x"D1";
2675
             when x"0C47" => q <= x"C1";
2676
             when x"0C48" => q <= x"78";
2677
             when x"0C49" => q <= x"C6";
2678
             when x"0C4A" => q <= x"03";
2679
             when x"0C4B" => q <= x"47";
2680
             when x"0C4C" => q <= x"7B";
2681
             when x"0C4D" => q <= x"CE";
2682
             when x"0C4E" => q <= x"00";
2683
             when x"0C4F" => q <= x"5F";
2684
             when x"0C50" => q <= x"3E";
2685
             when x"0C51" => q <= x"00";
2686
             when x"0C52" => q <= x"F5";
2687
             when x"0C53" => q <= x"33";
2688
             when x"0C54" => q <= x"68";
2689
             when x"0C55" => q <= x"63";
2690
             when x"0C56" => q <= x"E5";
2691
             when x"0C57" => q <= x"CD";
2692
             when x"0C58" => q <= x"79";
2693
             when x"0C59" => q <= x"0D";
2694
             when x"0C5A" => q <= x"F1";
2695
             when x"0C5B" => q <= x"33";
2696
             when x"0C5C" => q <= x"DD";
2697
             when x"0C5D" => q <= x"7E";
2698
             when x"0C5E" => q <= x"FD";
2699
             when x"0C5F" => q <= x"C6";
2700
             when x"0C60" => q <= x"0A";
2701
             when x"0C61" => q <= x"DD";
2702
             when x"0C62" => q <= x"77";
2703
             when x"0C63" => q <= x"FD";
2704
             when x"0C64" => q <= x"DD";
2705
             when x"0C65" => q <= x"7E";
2706
             when x"0C66" => q <= x"FE";
2707
             when x"0C67" => q <= x"CE";
2708
             when x"0C68" => q <= x"00";
2709
             when x"0C69" => q <= x"DD";
2710
             when x"0C6A" => q <= x"77";
2711
             when x"0C6B" => q <= x"FE";
2712
             when x"0C6C" => q <= x"DD";
2713
             when x"0C6D" => q <= x"34";
2714
             when x"0C6E" => q <= x"FF";
2715
             when x"0C6F" => q <= x"C3";
2716
             when x"0C70" => q <= x"E8";
2717
             when x"0C71" => q <= x"0B";
2718
             when x"0C72" => q <= x"DD";
2719
             when x"0C73" => q <= x"F9";
2720
             when x"0C74" => q <= x"DD";
2721
             when x"0C75" => q <= x"E1";
2722
             when x"0C76" => q <= x"C9";
2723
             when x"0C77" => q <= x"DD";
2724
             when x"0C78" => q <= x"E5";
2725
             when x"0C79" => q <= x"DD";
2726
             when x"0C7A" => q <= x"21";
2727
             when x"0C7B" => q <= x"00";
2728
             when x"0C7C" => q <= x"00";
2729
             when x"0C7D" => q <= x"DD";
2730
             when x"0C7E" => q <= x"39";
2731
             when x"0C7F" => q <= x"F5";
2732
             when x"0C80" => q <= x"DD";
2733
             when x"0C81" => q <= x"36";
2734
             when x"0C82" => q <= x"FE";
2735
             when x"0C83" => q <= x"27";
2736
             when x"0C84" => q <= x"DD";
2737
             when x"0C85" => q <= x"36";
2738
             when x"0C86" => q <= x"FF";
2739
             when x"0C87" => q <= x"00";
2740
             when x"0C88" => q <= x"CD";
2741
             when x"0C89" => q <= x"02";
2742
             when x"0C8A" => q <= x"02";
2743
             when x"0C8B" => q <= x"CD";
2744
             when x"0C8C" => q <= x"3E";
2745
             when x"0C8D" => q <= x"03";
2746
             when x"0C8E" => q <= x"CD";
2747
             when x"0C8F" => q <= x"D2";
2748
             when x"0C90" => q <= x"02";
2749
             when x"0C91" => q <= x"21";
2750
             when x"0C92" => q <= x"0A";
2751
             when x"0C93" => q <= x"00";
2752
             when x"0C94" => q <= x"E5";
2753
             when x"0C95" => q <= x"CD";
2754
             when x"0C96" => q <= x"D2";
2755
             when x"0C97" => q <= x"0B";
2756
             when x"0C98" => q <= x"21";
2757
             when x"0C99" => q <= x"27";
2758
             when x"0C9A" => q <= x"00";
2759
             when x"0C9B" => q <= x"E3";
2760
             when x"0C9C" => q <= x"CD";
2761
             when x"0C9D" => q <= x"43";
2762
             when x"0C9E" => q <= x"02";
2763
             when x"0C9F" => q <= x"21";
2764
             when x"0CA0" => q <= x"00";
2765
             when x"0CA1" => q <= x"02";
2766
             when x"0CA2" => q <= x"E3";
2767
             when x"0CA3" => q <= x"3E";
2768
             when x"0CA4" => q <= x"01";
2769
             when x"0CA5" => q <= x"F5";
2770
             when x"0CA6" => q <= x"33";
2771
             when x"0CA7" => q <= x"CD";
2772
             when x"0CA8" => q <= x"8C";
2773
             when x"0CA9" => q <= x"02";
2774
             when x"0CAA" => q <= x"33";
2775
             when x"0CAB" => q <= x"21";
2776
             when x"0CAC" => q <= x"4E";
2777
             when x"0CAD" => q <= x"02";
2778
             when x"0CAE" => q <= x"E3";
2779
             when x"0CAF" => q <= x"3E";
2780
             when x"0CB0" => q <= x"02";
2781
             when x"0CB1" => q <= x"F5";
2782
             when x"0CB2" => q <= x"33";
2783
             when x"0CB3" => q <= x"CD";
2784
             when x"0CB4" => q <= x"8C";
2785
             when x"0CB5" => q <= x"02";
2786
             when x"0CB6" => q <= x"F1";
2787
             when x"0CB7" => q <= x"33";
2788
             when x"0CB8" => q <= x"11";
2789
             when x"0CB9" => q <= x"00";
2790
             when x"0CBA" => q <= x"00";
2791
             when x"0CBB" => q <= x"D5";
2792
             when x"0CBC" => q <= x"D5";
2793
             when x"0CBD" => q <= x"CD";
2794
             when x"0CBE" => q <= x"0E";
2795
             when x"0CBF" => q <= x"03";
2796
             when x"0CC0" => q <= x"F1";
2797
             when x"0CC1" => q <= x"D1";
2798
             when x"0CC2" => q <= x"0E";
2799
             when x"0CC3" => q <= x"01";
2800
             when x"0CC4" => q <= x"3E";
2801
             when x"0CC5" => q <= x"0A";
2802
             when x"0CC6" => q <= x"91";
2803
             when x"0CC7" => q <= x"38";
2804
             when x"0CC8" => q <= x"0E";
2805
             when x"0CC9" => q <= x"C5";
2806
             when x"0CCA" => q <= x"D5";
2807
             when x"0CCB" => q <= x"79";
2808
             when x"0CCC" => q <= x"F5";
2809
             when x"0CCD" => q <= x"33";
2810
             when x"0CCE" => q <= x"CD";
2811
             when x"0CCF" => q <= x"5F";
2812
             when x"0CD0" => q <= x"05";
2813
             when x"0CD1" => q <= x"33";
2814
             when x"0CD2" => q <= x"D1";
2815
             when x"0CD3" => q <= x"C1";
2816
             when x"0CD4" => q <= x"0C";
2817
             when x"0CD5" => q <= x"18";
2818
             when x"0CD6" => q <= x"ED";
2819
             when x"0CD7" => q <= x"D5";
2820
             when x"0CD8" => q <= x"21";
2821
             when x"0CD9" => q <= x"38";
2822
             when x"0CDA" => q <= x"00";
2823
             when x"0CDB" => q <= x"E5";
2824
             when x"0CDC" => q <= x"DD";
2825
             when x"0CDD" => q <= x"6E";
2826
             when x"0CDE" => q <= x"FE";
2827
             when x"0CDF" => q <= x"DD";
2828
             when x"0CE0" => q <= x"66";
2829
             when x"0CE1" => q <= x"FF";
2830
             when x"0CE2" => q <= x"E5";
2831
             when x"0CE3" => q <= x"CD";
2832
             when x"0CE4" => q <= x"9B";
2833
             when x"0CE5" => q <= x"04";
2834
             when x"0CE6" => q <= x"F1";
2835
             when x"0CE7" => q <= x"F1";
2836
             when x"0CE8" => q <= x"D1";
2837
             when x"0CE9" => q <= x"DD";
2838
             when x"0CEA" => q <= x"75";
2839
             when x"0CEB" => q <= x"FE";
2840
             when x"0CEC" => q <= x"DD";
2841
             when x"0CED" => q <= x"74";
2842
             when x"0CEE" => q <= x"FF";
2843
             when x"0CEF" => q <= x"D5";
2844
             when x"0CF0" => q <= x"CD";
2845
             when x"0CF1" => q <= x"98";
2846
             when x"0CF2" => q <= x"04";
2847
             when x"0CF3" => q <= x"D1";
2848
             when x"0CF4" => q <= x"7D";
2849
             when x"0CF5" => q <= x"D6";
2850
             when x"0CF6" => q <= x"01";
2851
             when x"0CF7" => q <= x"20";
2852
             when x"0CF8" => q <= x"01";
2853
             when x"0CF9" => q <= x"13";
2854
             when x"0CFA" => q <= x"D5";
2855
             when x"0CFB" => q <= x"21";
2856
             when x"0CFC" => q <= x"3C";
2857
             when x"0CFD" => q <= x"00";
2858
             when x"0CFE" => q <= x"E5";
2859
             when x"0CFF" => q <= x"CD";
2860
             when x"0D00" => q <= x"21";
2861
             when x"0D01" => q <= x"02";
2862
             when x"0D02" => q <= x"F1";
2863
             when x"0D03" => q <= x"CD";
2864
             when x"0D04" => q <= x"53";
2865
             when x"0D05" => q <= x"0D";
2866
             when x"0D06" => q <= x"D1";
2867
             when x"0D07" => q <= x"3E";
2868
             when x"0D08" => q <= x"0F";
2869
             when x"0D09" => q <= x"95";
2870
             when x"0D0A" => q <= x"3E";
2871
             when x"0D0B" => q <= x"00";
2872
             when x"0D0C" => q <= x"9C";
2873
             when x"0D0D" => q <= x"E2";
2874
             when x"0D0E" => q <= x"12";
2875
             when x"0D0F" => q <= x"0D";
2876
             when x"0D10" => q <= x"EE";
2877
             when x"0D11" => q <= x"80";
2878
             when x"0D12" => q <= x"F2";
2879
             when x"0D13" => q <= x"21";
2880
             when x"0D14" => q <= x"0D";
2881
             when x"0D15" => q <= x"D5";
2882
             when x"0D16" => q <= x"21";
2883
             when x"0D17" => q <= x"FF";
2884
             when x"0D18" => q <= x"00";
2885
             when x"0D19" => q <= x"E5";
2886
             when x"0D1A" => q <= x"CD";
2887
             when x"0D1B" => q <= x"3D";
2888
             when x"0D1C" => q <= x"0D";
2889
             when x"0D1D" => q <= x"F1";
2890
             when x"0D1E" => q <= x"D1";
2891
             when x"0D1F" => q <= x"18";
2892
             when x"0D20" => q <= x"9A";
2893
             when x"0D21" => q <= x"D5";
2894
             when x"0D22" => q <= x"21";
2895
             when x"0D23" => q <= x"00";
2896
             when x"0D24" => q <= x"00";
2897
             when x"0D25" => q <= x"E5";
2898
             when x"0D26" => q <= x"CD";
2899
             when x"0D27" => q <= x"3D";
2900
             when x"0D28" => q <= x"0D";
2901
             when x"0D29" => q <= x"F1";
2902
             when x"0D2A" => q <= x"D1";
2903
             when x"0D2B" => q <= x"18";
2904
             when x"0D2C" => q <= x"8E";
2905
             when x"0D2D" => q <= x"DD";
2906
             when x"0D2E" => q <= x"E5";
2907
             when x"0D2F" => q <= x"DD";
2908
             when x"0D30" => q <= x"21";
2909
             when x"0D31" => q <= x"00";
2910
             when x"0D32" => q <= x"00";
2911
             when x"0D33" => q <= x"DD";
2912
             when x"0D34" => q <= x"39";
2913
             when x"0D35" => q <= x"DD";
2914
             when x"0D36" => q <= x"7E";
2915
             when x"0D37" => q <= x"04";
2916
             when x"0D38" => q <= x"D3";
2917
             when x"0D39" => q <= x"01";
2918
             when x"0D3A" => q <= x"DD";
2919
             when x"0D3B" => q <= x"E1";
2920
             when x"0D3C" => q <= x"C9";
2921
             when x"0D3D" => q <= x"DD";
2922
             when x"0D3E" => q <= x"E5";
2923
             when x"0D3F" => q <= x"DD";
2924
             when x"0D40" => q <= x"21";
2925
             when x"0D41" => q <= x"00";
2926
             when x"0D42" => q <= x"00";
2927
             when x"0D43" => q <= x"DD";
2928
             when x"0D44" => q <= x"39";
2929
             when x"0D45" => q <= x"DD";
2930
             when x"0D46" => q <= x"7E";
2931
             when x"0D47" => q <= x"04";
2932
             when x"0D48" => q <= x"D3";
2933
             when x"0D49" => q <= x"02";
2934
             when x"0D4A" => q <= x"DD";
2935
             when x"0D4B" => q <= x"E1";
2936
             when x"0D4C" => q <= x"C9";
2937
             when x"0D4D" => q <= x"DB";
2938
             when x"0D4E" => q <= x"30";
2939
             when x"0D4F" => q <= x"6F";
2940
             when x"0D50" => q <= x"26";
2941
             when x"0D51" => q <= x"00";
2942
             when x"0D52" => q <= x"C9";
2943
             when x"0D53" => q <= x"DB";
2944
             when x"0D54" => q <= x"20";
2945
             when x"0D55" => q <= x"6F";
2946
             when x"0D56" => q <= x"26";
2947
             when x"0D57" => q <= x"00";
2948
             when x"0D58" => q <= x"C9";
2949
             when x"0D59" => q <= x"DD";
2950
             when x"0D5A" => q <= x"E5";
2951
             when x"0D5B" => q <= x"DD";
2952
             when x"0D5C" => q <= x"21";
2953
             when x"0D5D" => q <= x"00";
2954
             when x"0D5E" => q <= x"00";
2955
             when x"0D5F" => q <= x"DD";
2956
             when x"0D60" => q <= x"39";
2957
             when x"0D61" => q <= x"DD";
2958
             when x"0D62" => q <= x"7E";
2959
             when x"0D63" => q <= x"04";
2960
             when x"0D64" => q <= x"D3";
2961
             when x"0D65" => q <= x"11";
2962
             when x"0D66" => q <= x"DD";
2963
             when x"0D67" => q <= x"E1";
2964
             when x"0D68" => q <= x"C9";
2965
             when x"0D69" => q <= x"DD";
2966
             when x"0D6A" => q <= x"E5";
2967
             when x"0D6B" => q <= x"DD";
2968
             when x"0D6C" => q <= x"21";
2969
             when x"0D6D" => q <= x"00";
2970
             when x"0D6E" => q <= x"00";
2971
             when x"0D6F" => q <= x"DD";
2972
             when x"0D70" => q <= x"39";
2973
             when x"0D71" => q <= x"DD";
2974
             when x"0D72" => q <= x"7E";
2975
             when x"0D73" => q <= x"04";
2976
             when x"0D74" => q <= x"D3";
2977
             when x"0D75" => q <= x"10";
2978
             when x"0D76" => q <= x"DD";
2979
             when x"0D77" => q <= x"E1";
2980
             when x"0D78" => q <= x"C9";
2981
             when x"0D79" => q <= x"DD";
2982
             when x"0D7A" => q <= x"E5";
2983
             when x"0D7B" => q <= x"DD";
2984
             when x"0D7C" => q <= x"21";
2985
             when x"0D7D" => q <= x"00";
2986
             when x"0D7E" => q <= x"00";
2987
             when x"0D7F" => q <= x"DD";
2988
             when x"0D80" => q <= x"39";
2989
             when x"0D81" => q <= x"DD";
2990
             when x"0D82" => q <= x"6E";
2991
             when x"0D83" => q <= x"04";
2992
             when x"0D84" => q <= x"DD";
2993
             when x"0D85" => q <= x"66";
2994
             when x"0D86" => q <= x"05";
2995
             when x"0D87" => q <= x"DD";
2996
             when x"0D88" => q <= x"4E";
2997
             when x"0D89" => q <= x"06";
2998
             when x"0D8A" => q <= x"71";
2999
             when x"0D8B" => q <= x"DD";
3000
             when x"0D8C" => q <= x"E1";
3001
             when x"0D8D" => q <= x"C9";
3002
             when x"0D8E" => q <= x"DD";
3003
             when x"0D8F" => q <= x"E5";
3004
             when x"0D90" => q <= x"DD";
3005
             when x"0D91" => q <= x"21";
3006
             when x"0D92" => q <= x"00";
3007
             when x"0D93" => q <= x"00";
3008
             when x"0D94" => q <= x"DD";
3009
             when x"0D95" => q <= x"39";
3010
             when x"0D96" => q <= x"DD";
3011
             when x"0D97" => q <= x"6E";
3012
             when x"0D98" => q <= x"04";
3013
             when x"0D99" => q <= x"DD";
3014
             when x"0D9A" => q <= x"66";
3015
             when x"0D9B" => q <= x"05";
3016
             when x"0D9C" => q <= x"7E";
3017
             when x"0D9D" => q <= x"23";
3018
             when x"0D9E" => q <= x"6F";
3019
             when x"0D9F" => q <= x"DD";
3020
             when x"0DA0" => q <= x"E1";
3021
             when x"0DA1" => q <= x"C9";
3022
             when x"0DA2" => q <= x"DD";
3023
             when x"0DA3" => q <= x"E5";
3024
             when x"0DA4" => q <= x"DD";
3025
             when x"0DA5" => q <= x"21";
3026
             when x"0DA6" => q <= x"00";
3027
             when x"0DA7" => q <= x"00";
3028
             when x"0DA8" => q <= x"DD";
3029
             when x"0DA9" => q <= x"39";
3030
             when x"0DAA" => q <= x"DD";
3031
             when x"0DAB" => q <= x"7E";
3032
             when x"0DAC" => q <= x"04";
3033
             when x"0DAD" => q <= x"D3";
3034
             when x"0DAE" => q <= x"91";
3035
             when x"0DAF" => q <= x"DD";
3036
             when x"0DB0" => q <= x"7E";
3037
             when x"0DB1" => q <= x"06";
3038
             when x"0DB2" => q <= x"D3";
3039
             when x"0DB3" => q <= x"92";
3040
             when x"0DB4" => q <= x"DD";
3041
             when x"0DB5" => q <= x"E1";
3042
             when x"0DB6" => q <= x"C9";
3043
             when x"0DB7" => q <= x"DB";
3044
             when x"0DB8" => q <= x"80";
3045
             when x"0DB9" => q <= x"6F";
3046
             when x"0DBA" => q <= x"C9";
3047
             when x"0DBB" => q <= x"DD";
3048
             when x"0DBC" => q <= x"E5";
3049
             when x"0DBD" => q <= x"DD";
3050
             when x"0DBE" => q <= x"21";
3051
             when x"0DBF" => q <= x"00";
3052
             when x"0DC0" => q <= x"00";
3053
             when x"0DC1" => q <= x"DD";
3054
             when x"0DC2" => q <= x"39";
3055
             when x"0DC3" => q <= x"DD";
3056
             when x"0DC4" => q <= x"7E";
3057
             when x"0DC5" => q <= x"04";
3058
             when x"0DC6" => q <= x"D3";
3059
             when x"0DC7" => q <= x"90";
3060
             when x"0DC8" => q <= x"DD";
3061
             when x"0DC9" => q <= x"E1";
3062
             when x"0DCA" => q <= x"C9";
3063
             when x"0DCB" => q <= x"CD";
3064
             when x"0DCC" => q <= x"B7";
3065
             when x"0DCD" => q <= x"0D";
3066
             when x"0DCE" => q <= x"4D";
3067
             when x"0DCF" => q <= x"AF";
3068
             when x"0DD0" => q <= x"B1";
3069
             when x"0DD1" => q <= x"20";
3070
             when x"0DD2" => q <= x"06";
3071
             when x"0DD3" => q <= x"CD";
3072
             when x"0DD4" => q <= x"B7";
3073
             when x"0DD5" => q <= x"0D";
3074
             when x"0DD6" => q <= x"4D";
3075
             when x"0DD7" => q <= x"18";
3076
             when x"0DD8" => q <= x"F6";
3077
             when x"0DD9" => q <= x"69";
3078
             when x"0DDA" => q <= x"C9";
3079
             when x"0DDB" => q <= x"2A";
3080
             when x"0DDC" => q <= x"02";
3081
             when x"0DDD" => q <= x"80";
3082
             when x"0DDE" => q <= x"E5";
3083
             when x"0DDF" => q <= x"2A";
3084
             when x"0DE0" => q <= x"00";
3085
             when x"0DE1" => q <= x"80";
3086
             when x"0DE2" => q <= x"E5";
3087
             when x"0DE3" => q <= x"21";
3088
             when x"0DE4" => q <= x"C6";
3089
             when x"0DE5" => q <= x"41";
3090
             when x"0DE6" => q <= x"E5";
3091
             when x"0DE7" => q <= x"21";
3092
             when x"0DE8" => q <= x"6D";
3093
             when x"0DE9" => q <= x"4E";
3094
             when x"0DEA" => q <= x"E5";
3095
             when x"0DEB" => q <= x"CD";
3096
             when x"0DEC" => q <= x"43";
3097
             when x"0DED" => q <= x"0E";
3098
             when x"0DEE" => q <= x"F1";
3099
             when x"0DEF" => q <= x"F1";
3100
             when x"0DF0" => q <= x"F1";
3101
             when x"0DF1" => q <= x"F1";
3102
             when x"0DF2" => q <= x"44";
3103
             when x"0DF3" => q <= x"7D";
3104
             when x"0DF4" => q <= x"21";
3105
             when x"0DF5" => q <= x"00";
3106
             when x"0DF6" => q <= x"80";
3107
             when x"0DF7" => q <= x"C6";
3108
             when x"0DF8" => q <= x"39";
3109
             when x"0DF9" => q <= x"77";
3110
             when x"0DFA" => q <= x"78";
3111
             when x"0DFB" => q <= x"CE";
3112
             when x"0DFC" => q <= x"30";
3113
             when x"0DFD" => q <= x"23";
3114
             when x"0DFE" => q <= x"77";
3115
             when x"0DFF" => q <= x"7B";
3116
             when x"0E00" => q <= x"CE";
3117
             when x"0E01" => q <= x"00";
3118
             when x"0E02" => q <= x"23";
3119
             when x"0E03" => q <= x"77";
3120
             when x"0E04" => q <= x"7A";
3121
             when x"0E05" => q <= x"CE";
3122
             when x"0E06" => q <= x"00";
3123
             when x"0E07" => q <= x"23";
3124
             when x"0E08" => q <= x"77";
3125
             when x"0E09" => q <= x"3E";
3126
             when x"0E0A" => q <= x"10";
3127
             when x"0E0B" => q <= x"F5";
3128
             when x"0E0C" => q <= x"33";
3129
             when x"0E0D" => q <= x"2A";
3130
             when x"0E0E" => q <= x"02";
3131
             when x"0E0F" => q <= x"80";
3132
             when x"0E10" => q <= x"E5";
3133
             when x"0E11" => q <= x"2A";
3134
             when x"0E12" => q <= x"00";
3135
             when x"0E13" => q <= x"80";
3136
             when x"0E14" => q <= x"E5";
3137
             when x"0E15" => q <= x"CD";
3138
             when x"0E16" => q <= x"2F";
3139
             when x"0E17" => q <= x"0F";
3140
             when x"0E18" => q <= x"F1";
3141
             when x"0E19" => q <= x"F1";
3142
             when x"0E1A" => q <= x"33";
3143
             when x"0E1B" => q <= x"7C";
3144
             when x"0E1C" => q <= x"E6";
3145
             when x"0E1D" => q <= x"7F";
3146
             when x"0E1E" => q <= x"67";
3147
             when x"0E1F" => q <= x"C9";
3148
             when x"0E20" => q <= x"DD";
3149
             when x"0E21" => q <= x"E5";
3150
             when x"0E22" => q <= x"DD";
3151
             when x"0E23" => q <= x"21";
3152
             when x"0E24" => q <= x"00";
3153
             when x"0E25" => q <= x"00";
3154
             when x"0E26" => q <= x"DD";
3155
             when x"0E27" => q <= x"39";
3156
             when x"0E28" => q <= x"DD";
3157
             when x"0E29" => q <= x"7E";
3158
             when x"0E2A" => q <= x"04";
3159
             when x"0E2B" => q <= x"FD";
3160
             when x"0E2C" => q <= x"21";
3161
             when x"0E2D" => q <= x"00";
3162
             when x"0E2E" => q <= x"80";
3163
             when x"0E2F" => q <= x"FD";
3164
             when x"0E30" => q <= x"77";
3165
             when x"0E31" => q <= x"00";
3166
             when x"0E32" => q <= x"DD";
3167
             when x"0E33" => q <= x"7E";
3168
             when x"0E34" => q <= x"05";
3169
             when x"0E35" => q <= x"FD";
3170
             when x"0E36" => q <= x"77";
3171
             when x"0E37" => q <= x"01";
3172
             when x"0E38" => q <= x"FD";
3173
             when x"0E39" => q <= x"36";
3174
             when x"0E3A" => q <= x"02";
3175
             when x"0E3B" => q <= x"00";
3176
             when x"0E3C" => q <= x"FD";
3177
             when x"0E3D" => q <= x"36";
3178
             when x"0E3E" => q <= x"03";
3179
             when x"0E3F" => q <= x"00";
3180
             when x"0E40" => q <= x"DD";
3181
             when x"0E41" => q <= x"E1";
3182
             when x"0E42" => q <= x"C9";
3183
             when x"0E43" => q <= x"C3";
3184
             when x"0E44" => q <= x"5B";
3185
             when x"0E45" => q <= x"14";
3186
             when x"0E46" => q <= x"C3";
3187
             when x"0E47" => q <= x"4C";
3188
             when x"0E48" => q <= x"10";
3189
             when x"0E49" => q <= x"C3";
3190
             when x"0E4A" => q <= x"21";
3191
             when x"0E4B" => q <= x"11";
3192
             when x"0E4C" => q <= x"C3";
3193
             when x"0E4D" => q <= x"28";
3194
             when x"0E4E" => q <= x"12";
3195
             when x"0E4F" => q <= x"C3";
3196
             when x"0E50" => q <= x"26";
3197
             when x"0E51" => q <= x"13";
3198
             when x"0E52" => q <= x"3E";
3199
             when x"0E53" => q <= x"05";
3200
             when x"0E54" => q <= x"CF";
3201
             when x"0E55" => q <= x"C3";
3202
             when x"0E56" => q <= x"0A";
3203
             when x"0E57" => q <= x"13";
3204
             when x"0E58" => q <= x"3E";
3205
             when x"0E59" => q <= x"05";
3206
             when x"0E5A" => q <= x"CF";
3207
             when x"0E5B" => q <= x"C3";
3208
             when x"0E5C" => q <= x"E7";
3209
             when x"0E5D" => q <= x"0E";
3210
             when x"0E5E" => q <= x"3E";
3211
             when x"0E5F" => q <= x"05";
3212
             when x"0E60" => q <= x"CF";
3213
             when x"0E61" => q <= x"C3";
3214
             when x"0E62" => q <= x"7A";
3215
             when x"0E63" => q <= x"0F";
3216
             when x"0E64" => q <= x"3E";
3217
             when x"0E65" => q <= x"05";
3218
             when x"0E66" => q <= x"CF";
3219
             when x"0E67" => q <= x"C3";
3220
             when x"0E68" => q <= x"39";
3221
             when x"0E69" => q <= x"10";
3222
             when x"0E6A" => q <= x"3E";
3223
             when x"0E6B" => q <= x"05";
3224
             when x"0E6C" => q <= x"CF";
3225
             when x"0E6D" => q <= x"C3";
3226
             when x"0E6E" => q <= x"F0";
3227
             when x"0E6F" => q <= x"0E";
3228
             when x"0E70" => q <= x"3E";
3229
             when x"0E71" => q <= x"05";
3230
             when x"0E72" => q <= x"CF";
3231
             when x"0E73" => q <= x"C3";
3232
             when x"0E74" => q <= x"FA";
3233
             when x"0E75" => q <= x"0F";
3234
             when x"0E76" => q <= x"3E";
3235
             when x"0E77" => q <= x"05";
3236
             when x"0E78" => q <= x"CF";
3237
             when x"0E79" => q <= x"C3";
3238
             when x"0E7A" => q <= x"13";
3239
             when x"0E7B" => q <= x"10";
3240
             when x"0E7C" => q <= x"3E";
3241
             when x"0E7D" => q <= x"05";
3242
             when x"0E7E" => q <= x"CF";
3243
             when x"0E7F" => q <= x"C3";
3244
             when x"0E80" => q <= x"82";
3245
             when x"0E81" => q <= x"0F";
3246
             when x"0E82" => q <= x"3E";
3247
             when x"0E83" => q <= x"05";
3248
             when x"0E84" => q <= x"CF";
3249
             when x"0E85" => q <= x"C3";
3250
             when x"0E86" => q <= x"D0";
3251
             when x"0E87" => q <= x"0E";
3252
             when x"0E88" => q <= x"3E";
3253
             when x"0E89" => q <= x"05";
3254
             when x"0E8A" => q <= x"CF";
3255
             when x"0E8B" => q <= x"C3";
3256
             when x"0E8C" => q <= x"25";
3257
             when x"0E8D" => q <= x"10";
3258
             when x"0E8E" => q <= x"3E";
3259
             when x"0E8F" => q <= x"05";
3260
             when x"0E90" => q <= x"CF";
3261
             when x"0E91" => q <= x"C3";
3262
             when x"0E92" => q <= x"DF";
3263
             when x"0E93" => q <= x"0F";
3264
             when x"0E94" => q <= x"3E";
3265
             when x"0E95" => q <= x"05";
3266
             when x"0E96" => q <= x"CF";
3267
             when x"0E97" => q <= x"C3";
3268
             when x"0E98" => q <= x"BE";
3269
             when x"0E99" => q <= x"0F";
3270
             when x"0E9A" => q <= x"3E";
3271
             when x"0E9B" => q <= x"05";
3272
             when x"0E9C" => q <= x"CF";
3273
             when x"0E9D" => q <= x"C3";
3274
             when x"0E9E" => q <= x"2F";
3275
             when x"0E9F" => q <= x"10";
3276
             when x"0EA0" => q <= x"3E";
3277
             when x"0EA1" => q <= x"05";
3278
             when x"0EA2" => q <= x"CF";
3279
             when x"0EA3" => q <= x"C3";
3280
             when x"0EA4" => q <= x"EB";
3281
             when x"0EA5" => q <= x"0F";
3282
             when x"0EA6" => q <= x"3E";
3283
             when x"0EA7" => q <= x"05";
3284
             when x"0EA8" => q <= x"CF";
3285
             when x"0EA9" => q <= x"C3";
3286
             when x"0EAA" => q <= x"CD";
3287
             when x"0EAB" => q <= x"0F";
3288
             when x"0EAC" => q <= x"3E";
3289
             when x"0EAD" => q <= x"05";
3290
             when x"0EAE" => q <= x"CF";
3291
             when x"0EAF" => q <= x"C3";
3292
             when x"0EB0" => q <= x"07";
3293
             when x"0EB1" => q <= x"10";
3294
             when x"0EB2" => q <= x"3E";
3295
             when x"0EB3" => q <= x"05";
3296
             when x"0EB4" => q <= x"CF";
3297
             when x"0EB5" => q <= x"C3";
3298
             when x"0EB6" => q <= x"DC";
3299
             when x"0EB7" => q <= x"0E";
3300
             when x"0EB8" => q <= x"3E";
3301
             when x"0EB9" => q <= x"05";
3302
             when x"0EBA" => q <= x"CF";
3303
             when x"0EBB" => q <= x"C3";
3304
             when x"0EBC" => q <= x"2F";
3305
             when x"0EBD" => q <= x"0F";
3306
             when x"0EBE" => q <= x"3E";
3307
             when x"0EBF" => q <= x"05";
3308
             when x"0EC0" => q <= x"CF";
3309
             when x"0EC1" => q <= x"C3";
3310
             when x"0EC2" => q <= x"49";
3311
             when x"0EC3" => q <= x"0F";
3312
             when x"0EC4" => q <= x"3E";
3313
             when x"0EC5" => q <= x"05";
3314
             when x"0EC6" => q <= x"CF";
3315
             when x"0EC7" => q <= x"C3";
3316
             when x"0EC8" => q <= x"63";
3317
             when x"0EC9" => q <= x"0F";
3318
             when x"0ECA" => q <= x"3E";
3319
             when x"0ECB" => q <= x"05";
3320
             when x"0ECC" => q <= x"CF";
3321
             when x"0ECD" => q <= x"C3";
3322
             when x"0ECE" => q <= x"63";
3323
             when x"0ECF" => q <= x"0F";
3324
             when x"0ED0" => q <= x"21";
3325
             when x"0ED1" => q <= x"03";
3326
             when x"0ED2" => q <= x"00";
3327
             when x"0ED3" => q <= x"39";
3328
             when x"0ED4" => q <= x"5E";
3329
             when x"0ED5" => q <= x"2B";
3330
             when x"0ED6" => q <= x"6E";
3331
             when x"0ED7" => q <= x"CD";
3332
             when x"0ED8" => q <= x"89";
3333
             when x"0ED9" => q <= x"0F";
3334
             when x"0EDA" => q <= x"EB";
3335
             when x"0EDB" => q <= x"C9";
3336
             when x"0EDC" => q <= x"F1";
3337
             when x"0EDD" => q <= x"E1";
3338
             when x"0EDE" => q <= x"D1";
3339
             when x"0EDF" => q <= x"D5";
3340
             when x"0EE0" => q <= x"E5";
3341
             when x"0EE1" => q <= x"F5";
3342
             when x"0EE2" => q <= x"CD";
3343
             when x"0EE3" => q <= x"8C";
3344
             when x"0EE4" => q <= x"0F";
3345
             when x"0EE5" => q <= x"EB";
3346
             when x"0EE6" => q <= x"C9";
3347
             when x"0EE7" => q <= x"F1";
3348
             when x"0EE8" => q <= x"E1";
3349
             when x"0EE9" => q <= x"D1";
3350
             when x"0EEA" => q <= x"D5";
3351
             when x"0EEB" => q <= x"E5";
3352
             when x"0EEC" => q <= x"F5";
3353
             when x"0EED" => q <= x"C3";
3354
             when x"0EEE" => q <= x"FF";
3355
             when x"0EEF" => q <= x"0E";
3356
             when x"0EF0" => q <= x"21";
3357
             when x"0EF1" => q <= x"03";
3358
             when x"0EF2" => q <= x"00";
3359
             when x"0EF3" => q <= x"39";
3360
             when x"0EF4" => q <= x"5E";
3361
             when x"0EF5" => q <= x"2B";
3362
             when x"0EF6" => q <= x"6E";
3363
             when x"0EF7" => q <= x"7D";
3364
             when x"0EF8" => q <= x"07";
3365
             when x"0EF9" => q <= x"9F";
3366
             when x"0EFA" => q <= x"67";
3367
             when x"0EFB" => q <= x"7B";
3368
             when x"0EFC" => q <= x"07";
3369
             when x"0EFD" => q <= x"9F";
3370
             when x"0EFE" => q <= x"57";
3371
             when x"0EFF" => q <= x"7C";
3372
             when x"0F00" => q <= x"AA";
3373
             when x"0F01" => q <= x"17";
3374
             when x"0F02" => q <= x"7C";
3375
             when x"0F03" => q <= x"F5";
3376
             when x"0F04" => q <= x"17";
3377
             when x"0F05" => q <= x"30";
3378
             when x"0F06" => q <= x"06";
3379
             when x"0F07" => q <= x"97";
3380
             when x"0F08" => q <= x"95";
3381
             when x"0F09" => q <= x"6F";
3382
             when x"0F0A" => q <= x"9F";
3383
             when x"0F0B" => q <= x"94";
3384
             when x"0F0C" => q <= x"67";
3385
             when x"0F0D" => q <= x"CB";
3386
             when x"0F0E" => q <= x"7A";
3387
             when x"0F0F" => q <= x"28";
3388
             when x"0F10" => q <= x"06";
3389
             when x"0F11" => q <= x"97";
3390
             when x"0F12" => q <= x"93";
3391
             when x"0F13" => q <= x"5F";
3392
             when x"0F14" => q <= x"9F";
3393
             when x"0F15" => q <= x"92";
3394
             when x"0F16" => q <= x"57";
3395
             when x"0F17" => q <= x"CD";
3396
             when x"0F18" => q <= x"8C";
3397
             when x"0F19" => q <= x"0F";
3398
             when x"0F1A" => q <= x"F1";
3399
             when x"0F1B" => q <= x"D0";
3400
             when x"0F1C" => q <= x"47";
3401
             when x"0F1D" => q <= x"97";
3402
             when x"0F1E" => q <= x"95";
3403
             when x"0F1F" => q <= x"6F";
3404
             when x"0F20" => q <= x"9F";
3405
             when x"0F21" => q <= x"94";
3406
             when x"0F22" => q <= x"67";
3407
             when x"0F23" => q <= x"78";
3408
             when x"0F24" => q <= x"C9";
3409
             when x"0F25" => q <= x"17";
3410
             when x"0F26" => q <= x"EB";
3411
             when x"0F27" => q <= x"D0";
3412
             when x"0F28" => q <= x"97";
3413
             when x"0F29" => q <= x"95";
3414
             when x"0F2A" => q <= x"6F";
3415
             when x"0F2B" => q <= x"9F";
3416
             when x"0F2C" => q <= x"94";
3417
             when x"0F2D" => q <= x"67";
3418
             when x"0F2E" => q <= x"C9";
3419
             when x"0F2F" => q <= x"21";
3420
             when x"0F30" => q <= x"06";
3421
             when x"0F31" => q <= x"00";
3422
             when x"0F32" => q <= x"39";
3423
             when x"0F33" => q <= x"7E";
3424
             when x"0F34" => q <= x"B7";
3425
             when x"0F35" => q <= x"C1";
3426
             when x"0F36" => q <= x"E1";
3427
             when x"0F37" => q <= x"D1";
3428
             when x"0F38" => q <= x"D5";
3429
             when x"0F39" => q <= x"E5";
3430
             when x"0F3A" => q <= x"C5";
3431
             when x"0F3B" => q <= x"C8";
3432
             when x"0F3C" => q <= x"47";
3433
             when x"0F3D" => q <= x"7B";
3434
             when x"0F3E" => q <= x"CB";
3435
             when x"0F3F" => q <= x"3A";
3436
             when x"0F40" => q <= x"1F";
3437
             when x"0F41" => q <= x"CB";
3438
             when x"0F42" => q <= x"1C";
3439
             when x"0F43" => q <= x"CB";
3440
             when x"0F44" => q <= x"1D";
3441
             when x"0F45" => q <= x"10";
3442
             when x"0F46" => q <= x"F7";
3443
             when x"0F47" => q <= x"5F";
3444
             when x"0F48" => q <= x"C9";
3445
             when x"0F49" => q <= x"21";
3446
             when x"0F4A" => q <= x"06";
3447
             when x"0F4B" => q <= x"00";
3448
             when x"0F4C" => q <= x"39";
3449
             when x"0F4D" => q <= x"7E";
3450
             when x"0F4E" => q <= x"B7";
3451
             when x"0F4F" => q <= x"C1";
3452
             when x"0F50" => q <= x"E1";
3453
             when x"0F51" => q <= x"D1";
3454
             when x"0F52" => q <= x"D5";
3455
             when x"0F53" => q <= x"E5";
3456
             when x"0F54" => q <= x"C5";
3457
             when x"0F55" => q <= x"C8";
3458
             when x"0F56" => q <= x"47";
3459
             when x"0F57" => q <= x"7B";
3460
             when x"0F58" => q <= x"CB";
3461
             when x"0F59" => q <= x"2A";
3462
             when x"0F5A" => q <= x"1F";
3463
             when x"0F5B" => q <= x"CB";
3464
             when x"0F5C" => q <= x"1C";
3465
             when x"0F5D" => q <= x"CB";
3466
             when x"0F5E" => q <= x"1D";
3467
             when x"0F5F" => q <= x"10";
3468
             when x"0F60" => q <= x"F7";
3469
             when x"0F61" => q <= x"5F";
3470
             when x"0F62" => q <= x"C9";
3471
             when x"0F63" => q <= x"21";
3472
             when x"0F64" => q <= x"06";
3473
             when x"0F65" => q <= x"00";
3474
             when x"0F66" => q <= x"39";
3475
             when x"0F67" => q <= x"7E";
3476
             when x"0F68" => q <= x"B7";
3477
             when x"0F69" => q <= x"C1";
3478
             when x"0F6A" => q <= x"E1";
3479
             when x"0F6B" => q <= x"D1";
3480
             when x"0F6C" => q <= x"D5";
3481
             when x"0F6D" => q <= x"E5";
3482
             when x"0F6E" => q <= x"C5";
3483
             when x"0F6F" => q <= x"C8";
3484
             when x"0F70" => q <= x"47";
3485
             when x"0F71" => q <= x"7B";
3486
             when x"0F72" => q <= x"29";
3487
             when x"0F73" => q <= x"17";
3488
             when x"0F74" => q <= x"CB";
3489
             when x"0F75" => q <= x"12";
3490
             when x"0F76" => q <= x"10";
3491
             when x"0F77" => q <= x"FA";
3492
             when x"0F78" => q <= x"5F";
3493
             when x"0F79" => q <= x"C9";
3494
             when x"0F7A" => q <= x"F1";
3495
             when x"0F7B" => q <= x"E1";
3496
             when x"0F7C" => q <= x"D1";
3497
             when x"0F7D" => q <= x"D5";
3498
             when x"0F7E" => q <= x"E5";
3499
             when x"0F7F" => q <= x"F5";
3500
             when x"0F80" => q <= x"18";
3501
             when x"0F81" => q <= x"0A";
3502
             when x"0F82" => q <= x"21";
3503
             when x"0F83" => q <= x"03";
3504
             when x"0F84" => q <= x"00";
3505
             when x"0F85" => q <= x"39";
3506
             when x"0F86" => q <= x"5E";
3507
             when x"0F87" => q <= x"2B";
3508
             when x"0F88" => q <= x"6E";
3509
             when x"0F89" => q <= x"26";
3510
             when x"0F8A" => q <= x"00";
3511
             when x"0F8B" => q <= x"54";
3512
             when x"0F8C" => q <= x"7B";
3513
             when x"0F8D" => q <= x"B2";
3514
             when x"0F8E" => q <= x"E6";
3515
             when x"0F8F" => q <= x"80";
3516
             when x"0F90" => q <= x"20";
3517
             when x"0F91" => q <= x"13";
3518
             when x"0F92" => q <= x"B2";
3519
             when x"0F93" => q <= x"20";
3520
             when x"0F94" => q <= x"10";
3521
             when x"0F95" => q <= x"06";
3522
             when x"0F96" => q <= x"10";
3523
             when x"0F97" => q <= x"ED";
3524
             when x"0F98" => q <= x"6A";
3525
             when x"0F99" => q <= x"17";
3526
             when x"0F9A" => q <= x"93";
3527
             when x"0F9B" => q <= x"30";
3528
             when x"0F9C" => q <= x"01";
3529
             when x"0F9D" => q <= x"83";
3530
             when x"0F9E" => q <= x"3F";
3531
             when x"0F9F" => q <= x"ED";
3532
             when x"0FA0" => q <= x"6A";
3533
             when x"0FA1" => q <= x"10";
3534
             when x"0FA2" => q <= x"F6";
3535
             when x"0FA3" => q <= x"5F";
3536
             when x"0FA4" => q <= x"C9";
3537
             when x"0FA5" => q <= x"06";
3538
             when x"0FA6" => q <= x"09";
3539
             when x"0FA7" => q <= x"7D";
3540
             when x"0FA8" => q <= x"6C";
3541
             when x"0FA9" => q <= x"26";
3542
             when x"0FAA" => q <= x"00";
3543
             when x"0FAB" => q <= x"CB";
3544
             when x"0FAC" => q <= x"1D";
3545
             when x"0FAD" => q <= x"ED";
3546
             when x"0FAE" => q <= x"6A";
3547
             when x"0FAF" => q <= x"ED";
3548
             when x"0FB0" => q <= x"52";
3549
             when x"0FB1" => q <= x"30";
3550
             when x"0FB2" => q <= x"01";
3551
             when x"0FB3" => q <= x"19";
3552
             when x"0FB4" => q <= x"3F";
3553
             when x"0FB5" => q <= x"17";
3554
             when x"0FB6" => q <= x"10";
3555
             when x"0FB7" => q <= x"F5";
3556
             when x"0FB8" => q <= x"CB";
3557
             when x"0FB9" => q <= x"10";
3558
             when x"0FBA" => q <= x"50";
3559
             when x"0FBB" => q <= x"5F";
3560
             when x"0FBC" => q <= x"EB";
3561
             when x"0FBD" => q <= x"C9";
3562
             when x"0FBE" => q <= x"21";
3563
             when x"0FBF" => q <= x"03";
3564
             when x"0FC0" => q <= x"00";
3565
             when x"0FC1" => q <= x"39";
3566
             when x"0FC2" => q <= x"5E";
3567
             when x"0FC3" => q <= x"2B";
3568
             when x"0FC4" => q <= x"6E";
3569
             when x"0FC5" => q <= x"26";
3570
             when x"0FC6" => q <= x"00";
3571
             when x"0FC7" => q <= x"CD";
3572
             when x"0FC8" => q <= x"FB";
3573
             when x"0FC9" => q <= x"0E";
3574
             when x"0FCA" => q <= x"C3";
3575
             when x"0FCB" => q <= x"25";
3576
             when x"0FCC" => q <= x"0F";
3577
             when x"0FCD" => q <= x"21";
3578
             when x"0FCE" => q <= x"03";
3579
             when x"0FCF" => q <= x"00";
3580
             when x"0FD0" => q <= x"54";
3581
             when x"0FD1" => q <= x"39";
3582
             when x"0FD2" => q <= x"5E";
3583
             when x"0FD3" => q <= x"2B";
3584
             when x"0FD4" => q <= x"6E";
3585
             when x"0FD5" => q <= x"7D";
3586
             when x"0FD6" => q <= x"07";
3587
             when x"0FD7" => q <= x"9F";
3588
             when x"0FD8" => q <= x"67";
3589
             when x"0FD9" => q <= x"CD";
3590
             when x"0FDA" => q <= x"FF";
3591
             when x"0FDB" => q <= x"0E";
3592
             when x"0FDC" => q <= x"C3";
3593
             when x"0FDD" => q <= x"25";
3594
             when x"0FDE" => q <= x"0F";
3595
             when x"0FDF" => q <= x"21";
3596
             when x"0FE0" => q <= x"03";
3597
             when x"0FE1" => q <= x"00";
3598
             when x"0FE2" => q <= x"39";
3599
             when x"0FE3" => q <= x"5E";
3600
             when x"0FE4" => q <= x"2B";
3601
             when x"0FE5" => q <= x"6E";
3602
             when x"0FE6" => q <= x"26";
3603
             when x"0FE7" => q <= x"00";
3604
             when x"0FE8" => q <= x"C3";
3605
             when x"0FE9" => q <= x"FB";
3606
             when x"0FEA" => q <= x"0E";
3607
             when x"0FEB" => q <= x"21";
3608
             when x"0FEC" => q <= x"03";
3609
             when x"0FED" => q <= x"00";
3610
             when x"0FEE" => q <= x"54";
3611
             when x"0FEF" => q <= x"39";
3612
             when x"0FF0" => q <= x"5E";
3613
             when x"0FF1" => q <= x"2B";
3614
             when x"0FF2" => q <= x"6E";
3615
             when x"0FF3" => q <= x"7D";
3616
             when x"0FF4" => q <= x"07";
3617
             when x"0FF5" => q <= x"9F";
3618
             when x"0FF6" => q <= x"67";
3619
             when x"0FF7" => q <= x"C3";
3620
             when x"0FF8" => q <= x"FF";
3621
             when x"0FF9" => q <= x"0E";
3622
             when x"0FFA" => q <= x"21";
3623
             when x"0FFB" => q <= x"03";
3624
             when x"0FFC" => q <= x"00";
3625
             when x"0FFD" => q <= x"39";
3626
             when x"0FFE" => q <= x"5E";
3627
             when x"0FFF" => q <= x"2B";
3628
             when x"1000" => q <= x"6E";
3629
             when x"1001" => q <= x"CD";
3630
             when x"1002" => q <= x"F7";
3631
             when x"1003" => q <= x"0E";
3632
             when x"1004" => q <= x"C3";
3633
             when x"1005" => q <= x"25";
3634
             when x"1006" => q <= x"0F";
3635
             when x"1007" => q <= x"F1";
3636
             when x"1008" => q <= x"E1";
3637
             when x"1009" => q <= x"D1";
3638
             when x"100A" => q <= x"D5";
3639
             when x"100B" => q <= x"E5";
3640
             when x"100C" => q <= x"F5";
3641
             when x"100D" => q <= x"CD";
3642
             when x"100E" => q <= x"FF";
3643
             when x"100F" => q <= x"0E";
3644
             when x"1010" => q <= x"C3";
3645
             when x"1011" => q <= x"25";
3646
             when x"1012" => q <= x"0F";
3647
             when x"1013" => q <= x"21";
3648
             when x"1014" => q <= x"03";
3649
             when x"1015" => q <= x"00";
3650
             when x"1016" => q <= x"54";
3651
             when x"1017" => q <= x"39";
3652
             when x"1018" => q <= x"5E";
3653
             when x"1019" => q <= x"2B";
3654
             when x"101A" => q <= x"66";
3655
             when x"101B" => q <= x"6A";
3656
             when x"101C" => q <= x"06";
3657
             when x"101D" => q <= x"08";
3658
             when x"101E" => q <= x"29";
3659
             when x"101F" => q <= x"30";
3660
             when x"1020" => q <= x"01";
3661
             when x"1021" => q <= x"19";
3662
             when x"1022" => q <= x"10";
3663
             when x"1023" => q <= x"FA";
3664
             when x"1024" => q <= x"C9";
3665
             when x"1025" => q <= x"21";
3666
             when x"1026" => q <= x"03";
3667
             when x"1027" => q <= x"00";
3668
             when x"1028" => q <= x"44";
3669
             when x"1029" => q <= x"39";
3670
             when x"102A" => q <= x"5E";
3671
             when x"102B" => q <= x"2B";
3672
             when x"102C" => q <= x"4E";
3673
             when x"102D" => q <= x"18";
3674
             when x"102E" => q <= x"16";
3675
             when x"102F" => q <= x"21";
3676
             when x"1030" => q <= x"02";
3677
             when x"1031" => q <= x"00";
3678
             when x"1032" => q <= x"44";
3679
             when x"1033" => q <= x"39";
3680
             when x"1034" => q <= x"5E";
3681
             when x"1035" => q <= x"23";
3682
             when x"1036" => q <= x"4E";
3683
             when x"1037" => q <= x"18";
3684
             when x"1038" => q <= x"0C";
3685
             when x"1039" => q <= x"21";
3686
             when x"103A" => q <= x"03";
3687
             when x"103B" => q <= x"00";
3688
             when x"103C" => q <= x"39";
3689
             when x"103D" => q <= x"5E";
3690
             when x"103E" => q <= x"2B";
3691
             when x"103F" => q <= x"6E";
3692
             when x"1040" => q <= x"4D";
3693
             when x"1041" => q <= x"7D";
3694
             when x"1042" => q <= x"17";
3695
             when x"1043" => q <= x"9F";
3696
             when x"1044" => q <= x"47";
3697
             when x"1045" => q <= x"7B";
3698
             when x"1046" => q <= x"17";
3699
             when x"1047" => q <= x"9F";
3700
             when x"1048" => q <= x"57";
3701
             when x"1049" => q <= x"C3";
3702
             when x"104A" => q <= x"12";
3703
             when x"104B" => q <= x"13";
3704
             when x"104C" => q <= x"DD";
3705
             when x"104D" => q <= x"E5";
3706
             when x"104E" => q <= x"DD";
3707
             when x"104F" => q <= x"21";
3708
             when x"1050" => q <= x"00";
3709
             when x"1051" => q <= x"00";
3710
             when x"1052" => q <= x"DD";
3711
             when x"1053" => q <= x"39";
3712
             when x"1054" => q <= x"21";
3713
             when x"1055" => q <= x"F7";
3714
             when x"1056" => q <= x"FF";
3715
             when x"1057" => q <= x"39";
3716
             when x"1058" => q <= x"F9";
3717
             when x"1059" => q <= x"DD";
3718
             when x"105A" => q <= x"CB";
3719
             when x"105B" => q <= x"0B";
3720
             when x"105C" => q <= x"7E";
3721
             when x"105D" => q <= x"28";
3722
             when x"105E" => q <= x"19";
3723
             when x"105F" => q <= x"AF";
3724
             when x"1060" => q <= x"DD";
3725
             when x"1061" => q <= x"9E";
3726
             when x"1062" => q <= x"08";
3727
             when x"1063" => q <= x"4F";
3728
             when x"1064" => q <= x"3E";
3729
             when x"1065" => q <= x"00";
3730
             when x"1066" => q <= x"DD";
3731
             when x"1067" => q <= x"9E";
3732
             when x"1068" => q <= x"09";
3733
             when x"1069" => q <= x"47";
3734
             when x"106A" => q <= x"3E";
3735
             when x"106B" => q <= x"00";
3736
             when x"106C" => q <= x"DD";
3737
             when x"106D" => q <= x"9E";
3738
             when x"106E" => q <= x"0A";
3739
             when x"106F" => q <= x"5F";
3740
             when x"1070" => q <= x"3E";
3741
             when x"1071" => q <= x"00";
3742
             when x"1072" => q <= x"DD";
3743
             when x"1073" => q <= x"9E";
3744
             when x"1074" => q <= x"0B";
3745
             when x"1075" => q <= x"57";
3746
             when x"1076" => q <= x"18";
3747
             when x"1077" => q <= x"0C";
3748
             when x"1078" => q <= x"DD";
3749
             when x"1079" => q <= x"4E";
3750
             when x"107A" => q <= x"08";
3751
             when x"107B" => q <= x"DD";
3752
             when x"107C" => q <= x"46";
3753
             when x"107D" => q <= x"09";
3754
             when x"107E" => q <= x"DD";
3755
             when x"107F" => q <= x"5E";
3756
             when x"1080" => q <= x"0A";
3757
             when x"1081" => q <= x"DD";
3758
             when x"1082" => q <= x"56";
3759
             when x"1083" => q <= x"0B";
3760
             when x"1084" => q <= x"DD";
3761
             when x"1085" => q <= x"7E";
3762
             when x"1086" => q <= x"07";
3763
             when x"1087" => q <= x"07";
3764
             when x"1088" => q <= x"E6";
3765
             when x"1089" => q <= x"01";
3766
             when x"108A" => q <= x"DD";
3767
             when x"108B" => q <= x"77";
3768
             when x"108C" => q <= x"FB";
3769
             when x"108D" => q <= x"AF";
3770
             when x"108E" => q <= x"DD";
3771
             when x"108F" => q <= x"B6";
3772
             when x"1090" => q <= x"FB";
3773
             when x"1091" => q <= x"28";
3774
             when x"1092" => q <= x"21";
3775
             when x"1093" => q <= x"AF";
3776
             when x"1094" => q <= x"DD";
3777
             when x"1095" => q <= x"9E";
3778
             when x"1096" => q <= x"04";
3779
             when x"1097" => q <= x"DD";
3780
             when x"1098" => q <= x"77";
3781
             when x"1099" => q <= x"F7";
3782
             when x"109A" => q <= x"3E";
3783
             when x"109B" => q <= x"00";
3784
             when x"109C" => q <= x"DD";
3785
             when x"109D" => q <= x"9E";
3786
             when x"109E" => q <= x"05";
3787
             when x"109F" => q <= x"DD";
3788
             when x"10A0" => q <= x"77";
3789
             when x"10A1" => q <= x"F8";
3790
             when x"10A2" => q <= x"3E";
3791
             when x"10A3" => q <= x"00";
3792
             when x"10A4" => q <= x"DD";
3793
             when x"10A5" => q <= x"9E";
3794
             when x"10A6" => q <= x"06";
3795
             when x"10A7" => q <= x"DD";
3796
             when x"10A8" => q <= x"77";
3797
             when x"10A9" => q <= x"F9";
3798
             when x"10AA" => q <= x"3E";
3799
             when x"10AB" => q <= x"00";
3800
             when x"10AC" => q <= x"DD";
3801
             when x"10AD" => q <= x"9E";
3802
             when x"10AE" => q <= x"07";
3803
             when x"10AF" => q <= x"DD";
3804
             when x"10B0" => q <= x"77";
3805
             when x"10B1" => q <= x"FA";
3806
             when x"10B2" => q <= x"18";
3807
             when x"10B3" => q <= x"18";
3808
             when x"10B4" => q <= x"DD";
3809
             when x"10B5" => q <= x"7E";
3810
             when x"10B6" => q <= x"04";
3811
             when x"10B7" => q <= x"DD";
3812
             when x"10B8" => q <= x"77";
3813
             when x"10B9" => q <= x"F7";
3814
             when x"10BA" => q <= x"DD";
3815
             when x"10BB" => q <= x"7E";
3816
             when x"10BC" => q <= x"05";
3817
             when x"10BD" => q <= x"DD";
3818
             when x"10BE" => q <= x"77";
3819
             when x"10BF" => q <= x"F8";
3820
             when x"10C0" => q <= x"DD";
3821
             when x"10C1" => q <= x"7E";
3822
             when x"10C2" => q <= x"06";
3823
             when x"10C3" => q <= x"DD";
3824
             when x"10C4" => q <= x"77";
3825
             when x"10C5" => q <= x"F9";
3826
             when x"10C6" => q <= x"DD";
3827
             when x"10C7" => q <= x"7E";
3828
             when x"10C8" => q <= x"07";
3829
             when x"10C9" => q <= x"DD";
3830
             when x"10CA" => q <= x"77";
3831
             when x"10CB" => q <= x"FA";
3832
             when x"10CC" => q <= x"D5";
3833
             when x"10CD" => q <= x"C5";
3834
             when x"10CE" => q <= x"DD";
3835
             when x"10CF" => q <= x"6E";
3836
             when x"10D0" => q <= x"F9";
3837
             when x"10D1" => q <= x"DD";
3838
             when x"10D2" => q <= x"66";
3839
             when x"10D3" => q <= x"FA";
3840
             when x"10D4" => q <= x"E5";
3841
             when x"10D5" => q <= x"DD";
3842
             when x"10D6" => q <= x"6E";
3843
             when x"10D7" => q <= x"F7";
3844
             when x"10D8" => q <= x"DD";
3845
             when x"10D9" => q <= x"66";
3846
             when x"10DA" => q <= x"F8";
3847
             when x"10DB" => q <= x"E5";
3848
             when x"10DC" => q <= x"CD";
3849
             when x"10DD" => q <= x"21";
3850
             when x"10DE" => q <= x"11";
3851
             when x"10DF" => q <= x"F1";
3852
             when x"10E0" => q <= x"F1";
3853
             when x"10E1" => q <= x"F1";
3854
             when x"10E2" => q <= x"F1";
3855
             when x"10E3" => q <= x"DD";
3856
             when x"10E4" => q <= x"75";
3857
             when x"10E5" => q <= x"FC";
3858
             when x"10E6" => q <= x"DD";
3859
             when x"10E7" => q <= x"74";
3860
             when x"10E8" => q <= x"FD";
3861
             when x"10E9" => q <= x"DD";
3862
             when x"10EA" => q <= x"73";
3863
             when x"10EB" => q <= x"FE";
3864
             when x"10EC" => q <= x"DD";
3865
             when x"10ED" => q <= x"72";
3866
             when x"10EE" => q <= x"FF";
3867
             when x"10EF" => q <= x"AF";
3868
             when x"10F0" => q <= x"DD";
3869
             when x"10F1" => q <= x"B6";
3870
             when x"10F2" => q <= x"FB";
3871
             when x"10F3" => q <= x"28";
3872
             when x"10F4" => q <= x"1B";
3873
             when x"10F5" => q <= x"AF";
3874
             when x"10F6" => q <= x"DD";
3875
             when x"10F7" => q <= x"9E";
3876
             when x"10F8" => q <= x"FC";
3877
             when x"10F9" => q <= x"4F";
3878
             when x"10FA" => q <= x"3E";
3879
             when x"10FB" => q <= x"00";
3880
             when x"10FC" => q <= x"DD";
3881
             when x"10FD" => q <= x"9E";
3882
             when x"10FE" => q <= x"FD";
3883
             when x"10FF" => q <= x"47";
3884
             when x"1100" => q <= x"3E";
3885
             when x"1101" => q <= x"00";
3886
             when x"1102" => q <= x"DD";
3887
             when x"1103" => q <= x"9E";
3888
             when x"1104" => q <= x"FE";
3889
             when x"1105" => q <= x"5F";
3890
             when x"1106" => q <= x"3E";
3891
             when x"1107" => q <= x"00";
3892
             when x"1108" => q <= x"DD";
3893
             when x"1109" => q <= x"9E";
3894
             when x"110A" => q <= x"FF";
3895
             when x"110B" => q <= x"57";
3896
             when x"110C" => q <= x"69";
3897
             when x"110D" => q <= x"60";
3898
             when x"110E" => q <= x"18";
3899
             when x"110F" => q <= x"0C";
3900
             when x"1110" => q <= x"DD";
3901
             when x"1111" => q <= x"6E";
3902
             when x"1112" => q <= x"FC";
3903
             when x"1113" => q <= x"DD";
3904
             when x"1114" => q <= x"66";
3905
             when x"1115" => q <= x"FD";
3906
             when x"1116" => q <= x"DD";
3907
             when x"1117" => q <= x"5E";
3908
             when x"1118" => q <= x"FE";
3909
             when x"1119" => q <= x"DD";
3910
             when x"111A" => q <= x"56";
3911
             when x"111B" => q <= x"FF";
3912
             when x"111C" => q <= x"DD";
3913
             when x"111D" => q <= x"F9";
3914
             when x"111E" => q <= x"DD";
3915
             when x"111F" => q <= x"E1";
3916
             when x"1120" => q <= x"C9";
3917
             when x"1121" => q <= x"DD";
3918
             when x"1122" => q <= x"E5";
3919
             when x"1123" => q <= x"DD";
3920
             when x"1124" => q <= x"21";
3921
             when x"1125" => q <= x"00";
3922
             when x"1126" => q <= x"00";
3923
             when x"1127" => q <= x"DD";
3924
             when x"1128" => q <= x"39";
3925
             when x"1129" => q <= x"F5";
3926
             when x"112A" => q <= x"DD";
3927
             when x"112B" => q <= x"36";
3928
             when x"112C" => q <= x"FE";
3929
             when x"112D" => q <= x"00";
3930
             when x"112E" => q <= x"DD";
3931
             when x"112F" => q <= x"36";
3932
             when x"1130" => q <= x"FF";
3933
             when x"1131" => q <= x"00";
3934
             when x"1132" => q <= x"DD";
3935
             when x"1133" => q <= x"7E";
3936
             when x"1134" => q <= x"0B";
3937
             when x"1135" => q <= x"07";
3938
             when x"1136" => q <= x"38";
3939
             when x"1137" => q <= x"71";
3940
             when x"1138" => q <= x"3E";
3941
             when x"1139" => q <= x"01";
3942
             when x"113A" => q <= x"F5";
3943
             when x"113B" => q <= x"33";
3944
             when x"113C" => q <= x"DD";
3945
             when x"113D" => q <= x"6E";
3946
             when x"113E" => q <= x"0A";
3947
             when x"113F" => q <= x"DD";
3948
             when x"1140" => q <= x"66";
3949
             when x"1141" => q <= x"0B";
3950
             when x"1142" => q <= x"E5";
3951
             when x"1143" => q <= x"DD";
3952
             when x"1144" => q <= x"6E";
3953
             when x"1145" => q <= x"08";
3954
             when x"1146" => q <= x"DD";
3955
             when x"1147" => q <= x"66";
3956
             when x"1148" => q <= x"09";
3957
             when x"1149" => q <= x"E5";
3958
             when x"114A" => q <= x"CD";
3959
             when x"114B" => q <= x"63";
3960
             when x"114C" => q <= x"0F";
3961
             when x"114D" => q <= x"F1";
3962
             when x"114E" => q <= x"F1";
3963
             when x"114F" => q <= x"33";
3964
             when x"1150" => q <= x"4A";
3965
             when x"1151" => q <= x"43";
3966
             when x"1152" => q <= x"DD";
3967
             when x"1153" => q <= x"75";
3968
             when x"1154" => q <= x"08";
3969
             when x"1155" => q <= x"DD";
3970
             when x"1156" => q <= x"74";
3971
             when x"1157" => q <= x"09";
3972
             when x"1158" => q <= x"DD";
3973
             when x"1159" => q <= x"70";
3974
             when x"115A" => q <= x"0A";
3975
             when x"115B" => q <= x"DD";
3976
             when x"115C" => q <= x"71";
3977
             when x"115D" => q <= x"0B";
3978
             when x"115E" => q <= x"DD";
3979
             when x"115F" => q <= x"7E";
3980
             when x"1160" => q <= x"04";
3981
             when x"1161" => q <= x"DD";
3982
             when x"1162" => q <= x"96";
3983
             when x"1163" => q <= x"08";
3984
             when x"1164" => q <= x"DD";
3985
             when x"1165" => q <= x"7E";
3986
             when x"1166" => q <= x"05";
3987
             when x"1167" => q <= x"DD";
3988
             when x"1168" => q <= x"9E";
3989
             when x"1169" => q <= x"09";
3990
             when x"116A" => q <= x"DD";
3991
             when x"116B" => q <= x"7E";
3992
             when x"116C" => q <= x"06";
3993
             when x"116D" => q <= x"DD";
3994
             when x"116E" => q <= x"9E";
3995
             when x"116F" => q <= x"0A";
3996
             when x"1170" => q <= x"DD";
3997
             when x"1171" => q <= x"7E";
3998
             when x"1172" => q <= x"07";
3999
             when x"1173" => q <= x"DD";
4000
             when x"1174" => q <= x"9E";
4001
             when x"1175" => q <= x"0B";
4002
             when x"1176" => q <= x"30";
4003
             when x"1177" => q <= x"26";
4004
             when x"1178" => q <= x"3E";
4005
             when x"1179" => q <= x"01";
4006
             when x"117A" => q <= x"F5";
4007
             when x"117B" => q <= x"33";
4008
             when x"117C" => q <= x"DD";
4009
             when x"117D" => q <= x"6E";
4010
             when x"117E" => q <= x"0A";
4011
             when x"117F" => q <= x"DD";
4012
             when x"1180" => q <= x"66";
4013
             when x"1181" => q <= x"0B";
4014
             when x"1182" => q <= x"E5";
4015
             when x"1183" => q <= x"DD";
4016
             when x"1184" => q <= x"6E";
4017
             when x"1185" => q <= x"08";
4018
             when x"1186" => q <= x"DD";
4019
             when x"1187" => q <= x"66";
4020
             when x"1188" => q <= x"09";
4021
             when x"1189" => q <= x"E5";
4022
             when x"118A" => q <= x"CD";
4023
             when x"118B" => q <= x"2F";
4024
             when x"118C" => q <= x"0F";
4025
             when x"118D" => q <= x"F1";
4026
             when x"118E" => q <= x"F1";
4027
             when x"118F" => q <= x"33";
4028
             when x"1190" => q <= x"DD";
4029
             when x"1191" => q <= x"75";
4030
             when x"1192" => q <= x"08";
4031
             when x"1193" => q <= x"DD";
4032
             when x"1194" => q <= x"74";
4033
             when x"1195" => q <= x"09";
4034
             when x"1196" => q <= x"DD";
4035
             when x"1197" => q <= x"73";
4036
             when x"1198" => q <= x"0A";
4037
             when x"1199" => q <= x"DD";
4038
             when x"119A" => q <= x"72";
4039
             when x"119B" => q <= x"0B";
4040
             when x"119C" => q <= x"18";
4041
             when x"119D" => q <= x"0B";
4042
             when x"119E" => q <= x"DD";
4043
             when x"119F" => q <= x"34";
4044
             when x"11A0" => q <= x"FF";
4045
             when x"11A1" => q <= x"DD";
4046
             when x"11A2" => q <= x"7E";
4047
             when x"11A3" => q <= x"FF";
4048
             when x"11A4" => q <= x"DD";
4049
             when x"11A5" => q <= x"77";
4050
             when x"11A6" => q <= x"FE";
4051
             when x"11A7" => q <= x"18";
4052
             when x"11A8" => q <= x"89";
4053
             when x"11A9" => q <= x"DD";
4054
             when x"11AA" => q <= x"7E";
4055
             when x"11AB" => q <= x"04";
4056
             when x"11AC" => q <= x"DD";
4057
             when x"11AD" => q <= x"96";
4058
             when x"11AE" => q <= x"08";
4059
             when x"11AF" => q <= x"DD";
4060
             when x"11B0" => q <= x"7E";
4061
             when x"11B1" => q <= x"05";
4062
             when x"11B2" => q <= x"DD";
4063
             when x"11B3" => q <= x"9E";
4064
             when x"11B4" => q <= x"09";
4065
             when x"11B5" => q <= x"DD";
4066
             when x"11B6" => q <= x"7E";
4067
             when x"11B7" => q <= x"06";
4068
             when x"11B8" => q <= x"DD";
4069
             when x"11B9" => q <= x"9E";
4070
             when x"11BA" => q <= x"0A";
4071
             when x"11BB" => q <= x"DD";
4072
             when x"11BC" => q <= x"7E";
4073
             when x"11BD" => q <= x"07";
4074
             when x"11BE" => q <= x"DD";
4075
             when x"11BF" => q <= x"9E";
4076
             when x"11C0" => q <= x"0B";
4077
             when x"11C1" => q <= x"38";
4078
             when x"11C2" => q <= x"24";
4079
             when x"11C3" => q <= x"DD";
4080
             when x"11C4" => q <= x"7E";
4081
             when x"11C5" => q <= x"04";
4082
             when x"11C6" => q <= x"DD";
4083
             when x"11C7" => q <= x"96";
4084
             when x"11C8" => q <= x"08";
4085
             when x"11C9" => q <= x"DD";
4086
             when x"11CA" => q <= x"77";
4087
             when x"11CB" => q <= x"04";
4088
             when x"11CC" => q <= x"DD";
4089
             when x"11CD" => q <= x"7E";
4090
             when x"11CE" => q <= x"05";
4091
             when x"11CF" => q <= x"DD";
4092
             when x"11D0" => q <= x"9E";
4093
             when x"11D1" => q <= x"09";
4094
             when x"11D2" => q <= x"DD";
4095
             when x"11D3" => q <= x"77";
4096
             when x"11D4" => q <= x"05";
4097
             when x"11D5" => q <= x"DD";
4098
             when x"11D6" => q <= x"7E";
4099
             when x"11D7" => q <= x"06";
4100
             when x"11D8" => q <= x"DD";
4101
             when x"11D9" => q <= x"9E";
4102
             when x"11DA" => q <= x"0A";
4103
             when x"11DB" => q <= x"DD";
4104
             when x"11DC" => q <= x"77";
4105
             when x"11DD" => q <= x"06";
4106
             when x"11DE" => q <= x"DD";
4107
             when x"11DF" => q <= x"7E";
4108
             when x"11E0" => q <= x"07";
4109
             when x"11E1" => q <= x"DD";
4110
             when x"11E2" => q <= x"9E";
4111
             when x"11E3" => q <= x"0B";
4112
             when x"11E4" => q <= x"DD";
4113
             when x"11E5" => q <= x"77";
4114
             when x"11E6" => q <= x"07";
4115
             when x"11E7" => q <= x"3E";
4116
             when x"11E8" => q <= x"01";
4117
             when x"11E9" => q <= x"F5";
4118
             when x"11EA" => q <= x"33";
4119
             when x"11EB" => q <= x"DD";
4120
             when x"11EC" => q <= x"6E";
4121
             when x"11ED" => q <= x"0A";
4122
             when x"11EE" => q <= x"DD";
4123
             when x"11EF" => q <= x"66";
4124
             when x"11F0" => q <= x"0B";
4125
             when x"11F1" => q <= x"E5";
4126
             when x"11F2" => q <= x"DD";
4127
             when x"11F3" => q <= x"6E";
4128
             when x"11F4" => q <= x"08";
4129
             when x"11F5" => q <= x"DD";
4130
             when x"11F6" => q <= x"66";
4131
             when x"11F7" => q <= x"09";
4132
             when x"11F8" => q <= x"E5";
4133
             when x"11F9" => q <= x"CD";
4134
             when x"11FA" => q <= x"2F";
4135
             when x"11FB" => q <= x"0F";
4136
             when x"11FC" => q <= x"F1";
4137
             when x"11FD" => q <= x"F1";
4138
             when x"11FE" => q <= x"33";
4139
             when x"11FF" => q <= x"4A";
4140
             when x"1200" => q <= x"53";
4141
             when x"1201" => q <= x"DD";
4142
             when x"1202" => q <= x"75";
4143
             when x"1203" => q <= x"08";
4144
             when x"1204" => q <= x"DD";
4145
             when x"1205" => q <= x"74";
4146
             when x"1206" => q <= x"09";
4147
             when x"1207" => q <= x"DD";
4148
             when x"1208" => q <= x"72";
4149
             when x"1209" => q <= x"0A";
4150
             when x"120A" => q <= x"DD";
4151
             when x"120B" => q <= x"71";
4152
             when x"120C" => q <= x"0B";
4153
             when x"120D" => q <= x"DD";
4154
             when x"120E" => q <= x"6E";
4155
             when x"120F" => q <= x"FE";
4156
             when x"1210" => q <= x"DD";
4157
             when x"1211" => q <= x"35";
4158
             when x"1212" => q <= x"FE";
4159
             when x"1213" => q <= x"AF";
4160
             when x"1214" => q <= x"B5";
4161
             when x"1215" => q <= x"20";
4162
             when x"1216" => q <= x"92";
4163
             when x"1217" => q <= x"DD";
4164
             when x"1218" => q <= x"6E";
4165
             when x"1219" => q <= x"04";
4166
             when x"121A" => q <= x"DD";
4167
             when x"121B" => q <= x"66";
4168
             when x"121C" => q <= x"05";
4169
             when x"121D" => q <= x"DD";
4170
             when x"121E" => q <= x"5E";
4171
             when x"121F" => q <= x"06";
4172
             when x"1220" => q <= x"DD";
4173
             when x"1221" => q <= x"56";
4174
             when x"1222" => q <= x"07";
4175
             when x"1223" => q <= x"DD";
4176
             when x"1224" => q <= x"F9";
4177
             when x"1225" => q <= x"DD";
4178
             when x"1226" => q <= x"E1";
4179
             when x"1227" => q <= x"C9";
4180
             when x"1228" => q <= x"DD";
4181
             when x"1229" => q <= x"E5";
4182
             when x"122A" => q <= x"DD";
4183
             when x"122B" => q <= x"21";
4184
             when x"122C" => q <= x"00";
4185
             when x"122D" => q <= x"00";
4186
             when x"122E" => q <= x"DD";
4187
             when x"122F" => q <= x"39";
4188
             when x"1230" => q <= x"21";
4189
             when x"1231" => q <= x"F6";
4190
             when x"1232" => q <= x"FF";
4191
             when x"1233" => q <= x"39";
4192
             when x"1234" => q <= x"F9";
4193
             when x"1235" => q <= x"DD";
4194
             when x"1236" => q <= x"7E";
4195
             when x"1237" => q <= x"0B";
4196
             when x"1238" => q <= x"07";
4197
             when x"1239" => q <= x"E6";
4198
             when x"123A" => q <= x"01";
4199
             when x"123B" => q <= x"DD";
4200
             when x"123C" => q <= x"77";
4201
             when x"123D" => q <= x"F6";
4202
             when x"123E" => q <= x"AF";
4203
             when x"123F" => q <= x"DD";
4204
             when x"1240" => q <= x"B6";
4205
             when x"1241" => q <= x"F6";
4206
             when x"1242" => q <= x"28";
4207
             when x"1243" => q <= x"19";
4208
             when x"1244" => q <= x"AF";
4209
             when x"1245" => q <= x"DD";
4210
             when x"1246" => q <= x"9E";
4211
             when x"1247" => q <= x"08";
4212
             when x"1248" => q <= x"4F";
4213
             when x"1249" => q <= x"3E";
4214
             when x"124A" => q <= x"00";
4215
             when x"124B" => q <= x"DD";
4216
             when x"124C" => q <= x"9E";
4217
             when x"124D" => q <= x"09";
4218
             when x"124E" => q <= x"47";
4219
             when x"124F" => q <= x"3E";
4220
             when x"1250" => q <= x"00";
4221
             when x"1251" => q <= x"DD";
4222
             when x"1252" => q <= x"9E";
4223
             when x"1253" => q <= x"0A";
4224
             when x"1254" => q <= x"5F";
4225
             when x"1255" => q <= x"3E";
4226
             when x"1256" => q <= x"00";
4227
             when x"1257" => q <= x"DD";
4228
             when x"1258" => q <= x"9E";
4229
             when x"1259" => q <= x"0B";
4230
             when x"125A" => q <= x"57";
4231
             when x"125B" => q <= x"18";
4232
             when x"125C" => q <= x"0C";
4233
             when x"125D" => q <= x"DD";
4234
             when x"125E" => q <= x"4E";
4235
             when x"125F" => q <= x"08";
4236
             when x"1260" => q <= x"DD";
4237
             when x"1261" => q <= x"46";
4238
             when x"1262" => q <= x"09";
4239
             when x"1263" => q <= x"DD";
4240
             when x"1264" => q <= x"5E";
4241
             when x"1265" => q <= x"0A";
4242
             when x"1266" => q <= x"DD";
4243
             when x"1267" => q <= x"56";
4244
             when x"1268" => q <= x"0B";
4245
             when x"1269" => q <= x"DD";
4246
             when x"126A" => q <= x"7E";
4247
             when x"126B" => q <= x"07";
4248
             when x"126C" => q <= x"07";
4249
             when x"126D" => q <= x"E6";
4250
             when x"126E" => q <= x"01";
4251
             when x"126F" => q <= x"DD";
4252
             when x"1270" => q <= x"77";
4253
             when x"1271" => q <= x"F7";
4254
             when x"1272" => q <= x"AF";
4255
             when x"1273" => q <= x"DD";
4256
             when x"1274" => q <= x"B6";
4257
             when x"1275" => q <= x"F7";
4258
             when x"1276" => q <= x"28";
4259
             when x"1277" => q <= x"21";
4260
             when x"1278" => q <= x"AF";
4261
             when x"1279" => q <= x"DD";
4262
             when x"127A" => q <= x"9E";
4263
             when x"127B" => q <= x"04";
4264
             when x"127C" => q <= x"DD";
4265
             when x"127D" => q <= x"77";
4266
             when x"127E" => q <= x"F8";
4267
             when x"127F" => q <= x"3E";
4268
             when x"1280" => q <= x"00";
4269
             when x"1281" => q <= x"DD";
4270
             when x"1282" => q <= x"9E";
4271
             when x"1283" => q <= x"05";
4272
             when x"1284" => q <= x"DD";
4273
             when x"1285" => q <= x"77";
4274
             when x"1286" => q <= x"F9";
4275
             when x"1287" => q <= x"3E";
4276
             when x"1288" => q <= x"00";
4277
             when x"1289" => q <= x"DD";
4278
             when x"128A" => q <= x"9E";
4279
             when x"128B" => q <= x"06";
4280
             when x"128C" => q <= x"DD";
4281
             when x"128D" => q <= x"77";
4282
             when x"128E" => q <= x"FA";
4283
             when x"128F" => q <= x"3E";
4284
             when x"1290" => q <= x"00";
4285
             when x"1291" => q <= x"DD";
4286
             when x"1292" => q <= x"9E";
4287
             when x"1293" => q <= x"07";
4288
             when x"1294" => q <= x"DD";
4289
             when x"1295" => q <= x"77";
4290
             when x"1296" => q <= x"FB";
4291
             when x"1297" => q <= x"18";
4292
             when x"1298" => q <= x"18";
4293
             when x"1299" => q <= x"DD";
4294
             when x"129A" => q <= x"7E";
4295
             when x"129B" => q <= x"04";
4296
             when x"129C" => q <= x"DD";
4297
             when x"129D" => q <= x"77";
4298
             when x"129E" => q <= x"F8";
4299
             when x"129F" => q <= x"DD";
4300
             when x"12A0" => q <= x"7E";
4301
             when x"12A1" => q <= x"05";
4302
             when x"12A2" => q <= x"DD";
4303
             when x"12A3" => q <= x"77";
4304
             when x"12A4" => q <= x"F9";
4305
             when x"12A5" => q <= x"DD";
4306
             when x"12A6" => q <= x"7E";
4307
             when x"12A7" => q <= x"06";
4308
             when x"12A8" => q <= x"DD";
4309
             when x"12A9" => q <= x"77";
4310
             when x"12AA" => q <= x"FA";
4311
             when x"12AB" => q <= x"DD";
4312
             when x"12AC" => q <= x"7E";
4313
             when x"12AD" => q <= x"07";
4314
             when x"12AE" => q <= x"DD";
4315
             when x"12AF" => q <= x"77";
4316
             when x"12B0" => q <= x"FB";
4317
             when x"12B1" => q <= x"D5";
4318
             when x"12B2" => q <= x"C5";
4319
             when x"12B3" => q <= x"DD";
4320
             when x"12B4" => q <= x"6E";
4321
             when x"12B5" => q <= x"FA";
4322
             when x"12B6" => q <= x"DD";
4323
             when x"12B7" => q <= x"66";
4324
             when x"12B8" => q <= x"FB";
4325
             when x"12B9" => q <= x"E5";
4326
             when x"12BA" => q <= x"DD";
4327
             when x"12BB" => q <= x"6E";
4328
             when x"12BC" => q <= x"F8";
4329
             when x"12BD" => q <= x"DD";
4330
             when x"12BE" => q <= x"66";
4331
             when x"12BF" => q <= x"F9";
4332
             when x"12C0" => q <= x"E5";
4333
             when x"12C1" => q <= x"CD";
4334
             when x"12C2" => q <= x"26";
4335
             when x"12C3" => q <= x"13";
4336
             when x"12C4" => q <= x"F1";
4337
             when x"12C5" => q <= x"F1";
4338
             when x"12C6" => q <= x"F1";
4339
             when x"12C7" => q <= x"F1";
4340
             when x"12C8" => q <= x"4A";
4341
             when x"12C9" => q <= x"43";
4342
             when x"12CA" => q <= x"DD";
4343
             when x"12CB" => q <= x"75";
4344
             when x"12CC" => q <= x"FC";
4345
             when x"12CD" => q <= x"DD";
4346
             when x"12CE" => q <= x"74";
4347
             when x"12CF" => q <= x"FD";
4348
             when x"12D0" => q <= x"DD";
4349
             when x"12D1" => q <= x"70";
4350
             when x"12D2" => q <= x"FE";
4351
             when x"12D3" => q <= x"DD";
4352
             when x"12D4" => q <= x"71";
4353
             when x"12D5" => q <= x"FF";
4354
             when x"12D6" => q <= x"DD";
4355
             when x"12D7" => q <= x"7E";
4356
             when x"12D8" => q <= x"F7";
4357
             when x"12D9" => q <= x"DD";
4358
             when x"12DA" => q <= x"AE";
4359
             when x"12DB" => q <= x"F6";
4360
             when x"12DC" => q <= x"28";
4361
             when x"12DD" => q <= x"1B";
4362
             when x"12DE" => q <= x"AF";
4363
             when x"12DF" => q <= x"DD";
4364
             when x"12E0" => q <= x"9E";
4365
             when x"12E1" => q <= x"FC";
4366
             when x"12E2" => q <= x"4F";
4367
             when x"12E3" => q <= x"3E";
4368
             when x"12E4" => q <= x"00";
4369
             when x"12E5" => q <= x"DD";
4370
             when x"12E6" => q <= x"9E";
4371
             when x"12E7" => q <= x"FD";
4372
             when x"12E8" => q <= x"47";
4373
             when x"12E9" => q <= x"3E";
4374
             when x"12EA" => q <= x"00";
4375
             when x"12EB" => q <= x"DD";
4376
             when x"12EC" => q <= x"9E";
4377
             when x"12ED" => q <= x"FE";
4378
             when x"12EE" => q <= x"5F";
4379
             when x"12EF" => q <= x"3E";
4380
             when x"12F0" => q <= x"00";
4381
             when x"12F1" => q <= x"DD";
4382
             when x"12F2" => q <= x"9E";
4383
             when x"12F3" => q <= x"FF";
4384
             when x"12F4" => q <= x"57";
4385
             when x"12F5" => q <= x"69";
4386
             when x"12F6" => q <= x"60";
4387
             when x"12F7" => q <= x"18";
4388
             when x"12F8" => q <= x"0C";
4389
             when x"12F9" => q <= x"DD";
4390
             when x"12FA" => q <= x"6E";
4391
             when x"12FB" => q <= x"FC";
4392
             when x"12FC" => q <= x"DD";
4393
             when x"12FD" => q <= x"66";
4394
             when x"12FE" => q <= x"FD";
4395
             when x"12FF" => q <= x"DD";
4396
             when x"1300" => q <= x"5E";
4397
             when x"1301" => q <= x"FE";
4398
             when x"1302" => q <= x"DD";
4399
             when x"1303" => q <= x"56";
4400
             when x"1304" => q <= x"FF";
4401
             when x"1305" => q <= x"DD";
4402
             when x"1306" => q <= x"F9";
4403
             when x"1307" => q <= x"DD";
4404
             when x"1308" => q <= x"E1";
4405
             when x"1309" => q <= x"C9";
4406
             when x"130A" => q <= x"F1";
4407
             when x"130B" => q <= x"E1";
4408
             when x"130C" => q <= x"D1";
4409
             when x"130D" => q <= x"D5";
4410
             when x"130E" => q <= x"E5";
4411
             when x"130F" => q <= x"F5";
4412
             when x"1310" => q <= x"44";
4413
             when x"1311" => q <= x"4D";
4414
             when x"1312" => q <= x"AF";
4415
             when x"1313" => q <= x"6F";
4416
             when x"1314" => q <= x"B0";
4417
             when x"1315" => q <= x"06";
4418
             when x"1316" => q <= x"10";
4419
             when x"1317" => q <= x"20";
4420
             when x"1318" => q <= x"04";
4421
             when x"1319" => q <= x"06";
4422
             when x"131A" => q <= x"08";
4423
             when x"131B" => q <= x"79";
4424
             when x"131C" => q <= x"29";
4425
             when x"131D" => q <= x"CB";
4426
             when x"131E" => q <= x"11";
4427
             when x"131F" => q <= x"17";
4428
             when x"1320" => q <= x"30";
4429
             when x"1321" => q <= x"01";
4430
             when x"1322" => q <= x"19";
4431
             when x"1323" => q <= x"10";
4432
             when x"1324" => q <= x"F7";
4433
             when x"1325" => q <= x"C9";
4434
             when x"1326" => q <= x"DD";
4435
             when x"1327" => q <= x"E5";
4436
             when x"1328" => q <= x"DD";
4437
             when x"1329" => q <= x"21";
4438
             when x"132A" => q <= x"00";
4439
             when x"132B" => q <= x"00";
4440
             when x"132C" => q <= x"DD";
4441
             when x"132D" => q <= x"39";
4442
             when x"132E" => q <= x"21";
4443
             when x"132F" => q <= x"FA";
4444
             when x"1330" => q <= x"FF";
4445
             when x"1331" => q <= x"39";
4446
             when x"1332" => q <= x"F9";
4447
             when x"1333" => q <= x"AF";
4448
             when x"1334" => q <= x"DD";
4449
             when x"1335" => q <= x"77";
4450
             when x"1336" => q <= x"FC";
4451
             when x"1337" => q <= x"DD";
4452
             when x"1338" => q <= x"77";
4453
             when x"1339" => q <= x"FD";
4454
             when x"133A" => q <= x"DD";
4455
             when x"133B" => q <= x"77";
4456
             when x"133C" => q <= x"FE";
4457
             when x"133D" => q <= x"DD";
4458
             when x"133E" => q <= x"77";
4459
             when x"133F" => q <= x"FF";
4460
             when x"1340" => q <= x"DD";
4461
             when x"1341" => q <= x"36";
4462
             when x"1342" => q <= x"FB";
4463
             when x"1343" => q <= x"20";
4464
             when x"1344" => q <= x"DD";
4465
             when x"1345" => q <= x"7E";
4466
             when x"1346" => q <= x"07";
4467
             when x"1347" => q <= x"CB";
4468
             when x"1348" => q <= x"07";
4469
             when x"1349" => q <= x"E6";
4470
             when x"134A" => q <= x"01";
4471
             when x"134B" => q <= x"DD";
4472
             when x"134C" => q <= x"77";
4473
             when x"134D" => q <= x"FA";
4474
             when x"134E" => q <= x"3E";
4475
             when x"134F" => q <= x"01";
4476
             when x"1350" => q <= x"F5";
4477
             when x"1351" => q <= x"33";
4478
             when x"1352" => q <= x"DD";
4479
             when x"1353" => q <= x"6E";
4480
             when x"1354" => q <= x"06";
4481
             when x"1355" => q <= x"DD";
4482
             when x"1356" => q <= x"66";
4483
             when x"1357" => q <= x"07";
4484
             when x"1358" => q <= x"E5";
4485
             when x"1359" => q <= x"DD";
4486
             when x"135A" => q <= x"6E";
4487
             when x"135B" => q <= x"04";
4488
             when x"135C" => q <= x"DD";
4489
             when x"135D" => q <= x"66";
4490
             when x"135E" => q <= x"05";
4491
             when x"135F" => q <= x"E5";
4492
             when x"1360" => q <= x"CD";
4493
             when x"1361" => q <= x"63";
4494
             when x"1362" => q <= x"0F";
4495
             when x"1363" => q <= x"F1";
4496
             when x"1364" => q <= x"F1";
4497
             when x"1365" => q <= x"33";
4498
             when x"1366" => q <= x"DD";
4499
             when x"1367" => q <= x"75";
4500
             when x"1368" => q <= x"04";
4501
             when x"1369" => q <= x"DD";
4502
             when x"136A" => q <= x"74";
4503
             when x"136B" => q <= x"05";
4504
             when x"136C" => q <= x"DD";
4505
             when x"136D" => q <= x"73";
4506
             when x"136E" => q <= x"06";
4507
             when x"136F" => q <= x"DD";
4508
             when x"1370" => q <= x"72";
4509
             when x"1371" => q <= x"07";
4510
             when x"1372" => q <= x"3E";
4511
             when x"1373" => q <= x"01";
4512
             when x"1374" => q <= x"F5";
4513
             when x"1375" => q <= x"33";
4514
             when x"1376" => q <= x"DD";
4515
             when x"1377" => q <= x"6E";
4516
             when x"1378" => q <= x"FE";
4517
             when x"1379" => q <= x"DD";
4518
             when x"137A" => q <= x"66";
4519
             when x"137B" => q <= x"FF";
4520
             when x"137C" => q <= x"E5";
4521
             when x"137D" => q <= x"DD";
4522
             when x"137E" => q <= x"6E";
4523
             when x"137F" => q <= x"FC";
4524
             when x"1380" => q <= x"DD";
4525
             when x"1381" => q <= x"66";
4526
             when x"1382" => q <= x"FD";
4527
             when x"1383" => q <= x"E5";
4528
             when x"1384" => q <= x"CD";
4529
             when x"1385" => q <= x"63";
4530
             when x"1386" => q <= x"0F";
4531
             when x"1387" => q <= x"F1";
4532
             when x"1388" => q <= x"F1";
4533
             when x"1389" => q <= x"33";
4534
             when x"138A" => q <= x"DD";
4535
             when x"138B" => q <= x"75";
4536
             when x"138C" => q <= x"FC";
4537
             when x"138D" => q <= x"DD";
4538
             when x"138E" => q <= x"74";
4539
             when x"138F" => q <= x"FD";
4540
             when x"1390" => q <= x"DD";
4541
             when x"1391" => q <= x"73";
4542
             when x"1392" => q <= x"FE";
4543
             when x"1393" => q <= x"DD";
4544
             when x"1394" => q <= x"72";
4545
             when x"1395" => q <= x"FF";
4546
             when x"1396" => q <= x"DD";
4547
             when x"1397" => q <= x"CB";
4548
             when x"1398" => q <= x"FA";
4549
             when x"1399" => q <= x"46";
4550
             when x"139A" => q <= x"28";
4551
             when x"139B" => q <= x"04";
4552
             when x"139C" => q <= x"DD";
4553
             when x"139D" => q <= x"CB";
4554
             when x"139E" => q <= x"FC";
4555
             when x"139F" => q <= x"C6";
4556
             when x"13A0" => q <= x"DD";
4557
             when x"13A1" => q <= x"7E";
4558
             when x"13A2" => q <= x"FC";
4559
             when x"13A3" => q <= x"DD";
4560
             when x"13A4" => q <= x"96";
4561
             when x"13A5" => q <= x"08";
4562
             when x"13A6" => q <= x"DD";
4563
             when x"13A7" => q <= x"7E";
4564
             when x"13A8" => q <= x"FD";
4565
             when x"13A9" => q <= x"DD";
4566
             when x"13AA" => q <= x"9E";
4567
             when x"13AB" => q <= x"09";
4568
             when x"13AC" => q <= x"DD";
4569
             when x"13AD" => q <= x"7E";
4570
             when x"13AE" => q <= x"FE";
4571
             when x"13AF" => q <= x"DD";
4572
             when x"13B0" => q <= x"9E";
4573
             when x"13B1" => q <= x"0A";
4574
             when x"13B2" => q <= x"DD";
4575
             when x"13B3" => q <= x"7E";
4576
             when x"13B4" => q <= x"FF";
4577
             when x"13B5" => q <= x"DD";
4578
             when x"13B6" => q <= x"9E";
4579
             when x"13B7" => q <= x"0B";
4580
             when x"13B8" => q <= x"38";
4581
             when x"13B9" => q <= x"28";
4582
             when x"13BA" => q <= x"DD";
4583
             when x"13BB" => q <= x"7E";
4584
             when x"13BC" => q <= x"FC";
4585
             when x"13BD" => q <= x"DD";
4586
             when x"13BE" => q <= x"96";
4587
             when x"13BF" => q <= x"08";
4588
             when x"13C0" => q <= x"DD";
4589
             when x"13C1" => q <= x"77";
4590
             when x"13C2" => q <= x"FC";
4591
             when x"13C3" => q <= x"DD";
4592
             when x"13C4" => q <= x"7E";
4593
             when x"13C5" => q <= x"FD";
4594
             when x"13C6" => q <= x"DD";
4595
             when x"13C7" => q <= x"9E";
4596
             when x"13C8" => q <= x"09";
4597
             when x"13C9" => q <= x"DD";
4598
             when x"13CA" => q <= x"77";
4599
             when x"13CB" => q <= x"FD";
4600
             when x"13CC" => q <= x"DD";
4601
             when x"13CD" => q <= x"7E";
4602
             when x"13CE" => q <= x"FE";
4603
             when x"13CF" => q <= x"DD";
4604
             when x"13D0" => q <= x"9E";
4605
             when x"13D1" => q <= x"0A";
4606
             when x"13D2" => q <= x"DD";
4607
             when x"13D3" => q <= x"77";
4608
             when x"13D4" => q <= x"FE";
4609
             when x"13D5" => q <= x"DD";
4610
             when x"13D6" => q <= x"7E";
4611
             when x"13D7" => q <= x"FF";
4612
             when x"13D8" => q <= x"DD";
4613
             when x"13D9" => q <= x"9E";
4614
             when x"13DA" => q <= x"0B";
4615
             when x"13DB" => q <= x"DD";
4616
             when x"13DC" => q <= x"77";
4617
             when x"13DD" => q <= x"FF";
4618
             when x"13DE" => q <= x"DD";
4619
             when x"13DF" => q <= x"CB";
4620
             when x"13E0" => q <= x"04";
4621
             when x"13E1" => q <= x"C6";
4622
             when x"13E2" => q <= x"DD";
4623
             when x"13E3" => q <= x"35";
4624
             when x"13E4" => q <= x"FB";
4625
             when x"13E5" => q <= x"C2";
4626
             when x"13E6" => q <= x"44";
4627
             when x"13E7" => q <= x"13";
4628
             when x"13E8" => q <= x"DD";
4629
             when x"13E9" => q <= x"6E";
4630
             when x"13EA" => q <= x"04";
4631
             when x"13EB" => q <= x"DD";
4632
             when x"13EC" => q <= x"66";
4633
             when x"13ED" => q <= x"05";
4634
             when x"13EE" => q <= x"DD";
4635
             when x"13EF" => q <= x"5E";
4636
             when x"13F0" => q <= x"06";
4637
             when x"13F1" => q <= x"DD";
4638
             when x"13F2" => q <= x"56";
4639
             when x"13F3" => q <= x"07";
4640
             when x"13F4" => q <= x"DD";
4641
             when x"13F5" => q <= x"F9";
4642
             when x"13F6" => q <= x"DD";
4643
             when x"13F7" => q <= x"E1";
4644
             when x"13F8" => q <= x"C9";
4645
             when x"13F9" => q <= x"DD";
4646
             when x"13FA" => q <= x"E5";
4647
             when x"13FB" => q <= x"DD";
4648
             when x"13FC" => q <= x"21";
4649
             when x"13FD" => q <= x"00";
4650
             when x"13FE" => q <= x"00";
4651
             when x"13FF" => q <= x"DD";
4652
             when x"1400" => q <= x"39";
4653
             when x"1401" => q <= x"DD";
4654
             when x"1402" => q <= x"7E";
4655
             when x"1403" => q <= x"04";
4656
             when x"1404" => q <= x"F5";
4657
             when x"1405" => q <= x"33";
4658
             when x"1406" => q <= x"CD";
4659
             when x"1407" => q <= x"BB";
4660
             when x"1408" => q <= x"0D";
4661
             when x"1409" => q <= x"33";
4662
             when x"140A" => q <= x"DD";
4663
             when x"140B" => q <= x"E1";
4664
             when x"140C" => q <= x"C9";
4665
             when x"140D" => q <= x"DD";
4666
             when x"140E" => q <= x"E5";
4667
             when x"140F" => q <= x"DD";
4668
             when x"1410" => q <= x"21";
4669
             when x"1411" => q <= x"00";
4670
             when x"1412" => q <= x"00";
4671
             when x"1413" => q <= x"DD";
4672
             when x"1414" => q <= x"39";
4673
             when x"1415" => q <= x"DD";
4674
             when x"1416" => q <= x"6E";
4675
             when x"1417" => q <= x"06";
4676
             when x"1418" => q <= x"DD";
4677
             when x"1419" => q <= x"66";
4678
             when x"141A" => q <= x"07";
4679
             when x"141B" => q <= x"E5";
4680
             when x"141C" => q <= x"DD";
4681
             when x"141D" => q <= x"6E";
4682
             when x"141E" => q <= x"04";
4683
             when x"141F" => q <= x"DD";
4684
             when x"1420" => q <= x"66";
4685
             when x"1421" => q <= x"05";
4686
             when x"1422" => q <= x"E5";
4687
             when x"1423" => q <= x"21";
4688
             when x"1424" => q <= x"00";
4689
             when x"1425" => q <= x"00";
4690
             when x"1426" => q <= x"E5";
4691
             when x"1427" => q <= x"21";
4692
             when x"1428" => q <= x"F9";
4693
             when x"1429" => q <= x"13";
4694
             when x"142A" => q <= x"E5";
4695
             when x"142B" => q <= x"CD";
4696
             when x"142C" => q <= x"4D";
4697
             when x"142D" => q <= x"18";
4698
             when x"142E" => q <= x"F1";
4699
             when x"142F" => q <= x"F1";
4700
             when x"1430" => q <= x"F1";
4701
             when x"1431" => q <= x"F1";
4702
             when x"1432" => q <= x"DD";
4703
             when x"1433" => q <= x"E1";
4704
             when x"1434" => q <= x"C9";
4705
             when x"1435" => q <= x"DD";
4706
             when x"1436" => q <= x"E5";
4707
             when x"1437" => q <= x"DD";
4708
             when x"1438" => q <= x"21";
4709
             when x"1439" => q <= x"00";
4710
             when x"143A" => q <= x"00";
4711
             when x"143B" => q <= x"DD";
4712
             when x"143C" => q <= x"39";
4713
             when x"143D" => q <= x"21";
4714
             when x"143E" => q <= x"06";
4715
             when x"143F" => q <= x"00";
4716
             when x"1440" => q <= x"39";
4717
             when x"1441" => q <= x"E5";
4718
             when x"1442" => q <= x"DD";
4719
             when x"1443" => q <= x"6E";
4720
             when x"1444" => q <= x"04";
4721
             when x"1445" => q <= x"DD";
4722
             when x"1446" => q <= x"66";
4723
             when x"1447" => q <= x"05";
4724
             when x"1448" => q <= x"E5";
4725
             when x"1449" => q <= x"21";
4726
             when x"144A" => q <= x"00";
4727
             when x"144B" => q <= x"00";
4728
             when x"144C" => q <= x"E5";
4729
             when x"144D" => q <= x"21";
4730
             when x"144E" => q <= x"F9";
4731
             when x"144F" => q <= x"13";
4732
             when x"1450" => q <= x"E5";
4733
             when x"1451" => q <= x"CD";
4734
             when x"1452" => q <= x"4D";
4735
             when x"1453" => q <= x"18";
4736
             when x"1454" => q <= x"F1";
4737
             when x"1455" => q <= x"F1";
4738
             when x"1456" => q <= x"F1";
4739
             when x"1457" => q <= x"F1";
4740
             when x"1458" => q <= x"DD";
4741
             when x"1459" => q <= x"E1";
4742
             when x"145A" => q <= x"C9";
4743
             when x"145B" => q <= x"DD";
4744
             when x"145C" => q <= x"E5";
4745
             when x"145D" => q <= x"DD";
4746
             when x"145E" => q <= x"21";
4747
             when x"145F" => q <= x"00";
4748
             when x"1460" => q <= x"00";
4749
             when x"1461" => q <= x"DD";
4750
             when x"1462" => q <= x"39";
4751
             when x"1463" => q <= x"21";
4752
             when x"1464" => q <= x"E9";
4753
             when x"1465" => q <= x"FF";
4754
             when x"1466" => q <= x"39";
4755
             when x"1467" => q <= x"F9";
4756
             when x"1468" => q <= x"21";
4757
             when x"1469" => q <= x"13";
4758
             when x"146A" => q <= x"00";
4759
             when x"146B" => q <= x"39";
4760
             when x"146C" => q <= x"DD";
4761
             when x"146D" => q <= x"75";
4762
             when x"146E" => q <= x"F8";
4763
             when x"146F" => q <= x"DD";
4764
             when x"1470" => q <= x"74";
4765
             when x"1471" => q <= x"F9";
4766
             when x"1472" => q <= x"DD";
4767
             when x"1473" => q <= x"7E";
4768
             when x"1474" => q <= x"F8";
4769
             when x"1475" => q <= x"C6";
4770
             when x"1476" => q <= x"02";
4771
             when x"1477" => q <= x"DD";
4772
             when x"1478" => q <= x"77";
4773
             when x"1479" => q <= x"FA";
4774
             when x"147A" => q <= x"DD";
4775
             when x"147B" => q <= x"7E";
4776
             when x"147C" => q <= x"F9";
4777
             when x"147D" => q <= x"CE";
4778
             when x"147E" => q <= x"00";
4779
             when x"147F" => q <= x"DD";
4780
             when x"1480" => q <= x"77";
4781
             when x"1481" => q <= x"FB";
4782
             when x"1482" => q <= x"21";
4783
             when x"1483" => q <= x"1B";
4784
             when x"1484" => q <= x"00";
4785
             when x"1485" => q <= x"39";
4786
             when x"1486" => q <= x"EB";
4787
             when x"1487" => q <= x"1A";
4788
             when x"1488" => q <= x"DD";
4789
             when x"1489" => q <= x"77";
4790
             when x"148A" => q <= x"F7";
4791
             when x"148B" => q <= x"21";
4792
             when x"148C" => q <= x"1F";
4793
             when x"148D" => q <= x"00";
4794
             when x"148E" => q <= x"39";
4795
             when x"148F" => q <= x"DD";
4796
             when x"1490" => q <= x"75";
4797
             when x"1491" => q <= x"F5";
4798
             when x"1492" => q <= x"DD";
4799
             when x"1493" => q <= x"74";
4800
             when x"1494" => q <= x"F6";
4801
             when x"1495" => q <= x"23";
4802
             when x"1496" => q <= x"23";
4803
             when x"1497" => q <= x"7E";
4804
             when x"1498" => q <= x"DD";
4805
             when x"1499" => q <= x"77";
4806
             when x"149A" => q <= x"F4";
4807
             when x"149B" => q <= x"D5";
4808
             when x"149C" => q <= x"DD";
4809
             when x"149D" => q <= x"5E";
4810
             when x"149E" => q <= x"F4";
4811
             when x"149F" => q <= x"DD";
4812
             when x"14A0" => q <= x"66";
4813
             when x"14A1" => q <= x"F7";
4814
             when x"14A2" => q <= x"2E";
4815
             when x"14A3" => q <= x"00";
4816
             when x"14A4" => q <= x"55";
4817
             when x"14A5" => q <= x"06";
4818
             when x"14A6" => q <= x"08";
4819
             when x"14A7" => q <= x"29";
4820
             when x"14A8" => q <= x"30";
4821
             when x"14A9" => q <= x"01";
4822
             when x"14AA" => q <= x"19";
4823
             when x"14AB" => q <= x"10";
4824
             when x"14AC" => q <= x"FA";
4825
             when x"14AD" => q <= x"D1";
4826
             when x"14AE" => q <= x"4D";
4827
             when x"14AF" => q <= x"44";
4828
             when x"14B0" => q <= x"DD";
4829
             when x"14B1" => q <= x"6E";
4830
             when x"14B2" => q <= x"FA";
4831
             when x"14B3" => q <= x"DD";
4832
             when x"14B4" => q <= x"66";
4833
             when x"14B5" => q <= x"FB";
4834
             when x"14B6" => q <= x"71";
4835
             when x"14B7" => q <= x"23";
4836
             when x"14B8" => q <= x"70";
4837
             when x"14B9" => q <= x"DD";
4838
             when x"14BA" => q <= x"6E";
4839
             when x"14BB" => q <= x"F5";
4840
             when x"14BC" => q <= x"DD";
4841
             when x"14BD" => q <= x"66";
4842
             when x"14BE" => q <= x"F6";
4843
             when x"14BF" => q <= x"7E";
4844
             when x"14C0" => q <= x"DD";
4845
             when x"14C1" => q <= x"77";
4846
             when x"14C2" => q <= x"FA";
4847
             when x"14C3" => q <= x"D5";
4848
             when x"14C4" => q <= x"DD";
4849
             when x"14C5" => q <= x"5E";
4850
             when x"14C6" => q <= x"FA";
4851
             when x"14C7" => q <= x"DD";
4852
             when x"14C8" => q <= x"66";
4853
             when x"14C9" => q <= x"F7";
4854
             when x"14CA" => q <= x"2E";
4855
             when x"14CB" => q <= x"00";
4856
             when x"14CC" => q <= x"55";
4857
             when x"14CD" => q <= x"06";
4858
             when x"14CE" => q <= x"08";
4859
             when x"14CF" => q <= x"29";
4860
             when x"14D0" => q <= x"30";
4861
             when x"14D1" => q <= x"01";
4862
             when x"14D2" => q <= x"19";
4863
             when x"14D3" => q <= x"10";
4864
             when x"14D4" => q <= x"FA";
4865
             when x"14D5" => q <= x"D1";
4866
             when x"14D6" => q <= x"4D";
4867
             when x"14D7" => q <= x"44";
4868
             when x"14D8" => q <= x"DD";
4869
             when x"14D9" => q <= x"6E";
4870
             when x"14DA" => q <= x"F8";
4871
             when x"14DB" => q <= x"DD";
4872
             when x"14DC" => q <= x"66";
4873
             when x"14DD" => q <= x"F9";
4874
             when x"14DE" => q <= x"71";
4875
             when x"14DF" => q <= x"23";
4876
             when x"14E0" => q <= x"70";
4877
             when x"14E1" => q <= x"21";
4878
             when x"14E2" => q <= x"13";
4879
             when x"14E3" => q <= x"00";
4880
             when x"14E4" => q <= x"39";
4881
             when x"14E5" => q <= x"7D";
4882
             when x"14E6" => q <= x"C6";
4883
             when x"14E7" => q <= x"03";
4884
             when x"14E8" => q <= x"DD";
4885
             when x"14E9" => q <= x"77";
4886
             when x"14EA" => q <= x"F8";
4887
             when x"14EB" => q <= x"7C";
4888
             when x"14EC" => q <= x"CE";
4889
             when x"14ED" => q <= x"00";
4890
             when x"14EE" => q <= x"DD";
4891
             when x"14EF" => q <= x"77";
4892
             when x"14F0" => q <= x"F9";
4893
             when x"14F1" => q <= x"DD";
4894
             when x"14F2" => q <= x"6E";
4895
             when x"14F3" => q <= x"F8";
4896
             when x"14F4" => q <= x"DD";
4897
             when x"14F5" => q <= x"66";
4898
             when x"14F6" => q <= x"F9";
4899
             when x"14F7" => q <= x"46";
4900
             when x"14F8" => q <= x"21";
4901
             when x"14F9" => q <= x"03";
4902
             when x"14FA" => q <= x"00";
4903
             when x"14FB" => q <= x"19";
4904
             when x"14FC" => q <= x"DD";
4905
             when x"14FD" => q <= x"75";
4906
             when x"14FE" => q <= x"F1";
4907
             when x"14FF" => q <= x"DD";
4908
             when x"1500" => q <= x"74";
4909
             when x"1501" => q <= x"F2";
4910
             when x"1502" => q <= x"6E";
4911
             when x"1503" => q <= x"78";
4912
             when x"1504" => q <= x"D5";
4913
             when x"1505" => q <= x"DD";
4914
             when x"1506" => q <= x"5E";
4915
             when x"1507" => q <= x"FA";
4916
             when x"1508" => q <= x"65";
4917
             when x"1509" => q <= x"2E";
4918
             when x"150A" => q <= x"00";
4919
             when x"150B" => q <= x"55";
4920
             when x"150C" => q <= x"06";
4921
             when x"150D" => q <= x"08";
4922
             when x"150E" => q <= x"29";
4923
             when x"150F" => q <= x"30";
4924
             when x"1510" => q <= x"01";
4925
             when x"1511" => q <= x"19";
4926
             when x"1512" => q <= x"10";
4927
             when x"1513" => q <= x"FA";
4928
             when x"1514" => q <= x"D1";
4929
             when x"1515" => q <= x"4D";
4930
             when x"1516" => q <= x"81";
4931
             when x"1517" => q <= x"DD";
4932
             when x"1518" => q <= x"6E";
4933
             when x"1519" => q <= x"F8";
4934
             when x"151A" => q <= x"DD";
4935
             when x"151B" => q <= x"66";
4936
             when x"151C" => q <= x"F9";
4937
             when x"151D" => q <= x"77";
4938
             when x"151E" => q <= x"DD";
4939
             when x"151F" => q <= x"6E";
4940
             when x"1520" => q <= x"F8";
4941
             when x"1521" => q <= x"DD";
4942
             when x"1522" => q <= x"66";
4943
             when x"1523" => q <= x"F9";
4944
             when x"1524" => q <= x"7E";
4945
             when x"1525" => q <= x"DD";
4946
             when x"1526" => q <= x"77";
4947
             when x"1527" => q <= x"F3";
4948
             when x"1528" => q <= x"4B";
4949
             when x"1529" => q <= x"42";
4950
             when x"152A" => q <= x"03";
4951
             when x"152B" => q <= x"03";
4952
             when x"152C" => q <= x"0A";
4953
             when x"152D" => q <= x"DD";
4954
             when x"152E" => q <= x"77";
4955
             when x"152F" => q <= x"F0";
4956
             when x"1530" => q <= x"DD";
4957
             when x"1531" => q <= x"4E";
4958
             when x"1532" => q <= x"F5";
4959
             when x"1533" => q <= x"DD";
4960
             when x"1534" => q <= x"46";
4961
             when x"1535" => q <= x"F6";
4962
             when x"1536" => q <= x"03";
4963
             when x"1537" => q <= x"0A";
4964
             when x"1538" => q <= x"DD";
4965
             when x"1539" => q <= x"77";
4966
             when x"153A" => q <= x"EF";
4967
             when x"153B" => q <= x"D5";
4968
             when x"153C" => q <= x"DD";
4969
             when x"153D" => q <= x"5E";
4970
             when x"153E" => q <= x"EF";
4971
             when x"153F" => q <= x"DD";
4972
             when x"1540" => q <= x"66";
4973
             when x"1541" => q <= x"F0";
4974
             when x"1542" => q <= x"2E";
4975
             when x"1543" => q <= x"00";
4976
             when x"1544" => q <= x"55";
4977
             when x"1545" => q <= x"06";
4978
             when x"1546" => q <= x"08";
4979
             when x"1547" => q <= x"29";
4980
             when x"1548" => q <= x"30";
4981
             when x"1549" => q <= x"01";
4982
             when x"154A" => q <= x"19";
4983
             when x"154B" => q <= x"10";
4984
             when x"154C" => q <= x"FA";
4985
             when x"154D" => q <= x"D1";
4986
             when x"154E" => q <= x"45";
4987
             when x"154F" => q <= x"DD";
4988
             when x"1550" => q <= x"7E";
4989
             when x"1551" => q <= x"F3";
4990
             when x"1552" => q <= x"80";
4991
             when x"1553" => q <= x"DD";
4992
             when x"1554" => q <= x"6E";
4993
             when x"1555" => q <= x"F8";
4994
             when x"1556" => q <= x"DD";
4995
             when x"1557" => q <= x"66";
4996
             when x"1558" => q <= x"F9";
4997
             when x"1559" => q <= x"77";
4998
             when x"155A" => q <= x"21";
4999
             when x"155B" => q <= x"13";
5000
             when x"155C" => q <= x"00";
5001
             when x"155D" => q <= x"39";
5002
             when x"155E" => q <= x"7D";
5003
             when x"155F" => q <= x"C6";
5004
             when x"1560" => q <= x"02";
5005
             when x"1561" => q <= x"DD";
5006
             when x"1562" => q <= x"77";
5007
             when x"1563" => q <= x"F8";
5008
             when x"1564" => q <= x"7C";
5009
             when x"1565" => q <= x"CE";
5010
             when x"1566" => q <= x"00";
5011
             when x"1567" => q <= x"DD";
5012
             when x"1568" => q <= x"77";
5013
             when x"1569" => q <= x"F9";
5014
             when x"156A" => q <= x"DD";
5015
             when x"156B" => q <= x"6E";
5016
             when x"156C" => q <= x"F8";
5017
             when x"156D" => q <= x"DD";
5018
             when x"156E" => q <= x"66";
5019
             when x"156F" => q <= x"F9";
5020
             when x"1570" => q <= x"7E";
5021
             when x"1571" => q <= x"DD";
5022
             when x"1572" => q <= x"77";
5023
             when x"1573" => q <= x"ED";
5024
             when x"1574" => q <= x"23";
5025
             when x"1575" => q <= x"7E";
5026
             when x"1576" => q <= x"DD";
5027
             when x"1577" => q <= x"77";
5028
             when x"1578" => q <= x"EE";
5029
             when x"1579" => q <= x"D5";
5030
             when x"157A" => q <= x"DD";
5031
             when x"157B" => q <= x"5E";
5032
             when x"157C" => q <= x"FA";
5033
             when x"157D" => q <= x"DD";
5034
             when x"157E" => q <= x"66";
5035
             when x"157F" => q <= x"F0";
5036
             when x"1580" => q <= x"2E";
5037
             when x"1581" => q <= x"00";
5038
             when x"1582" => q <= x"55";
5039
             when x"1583" => q <= x"06";
5040
             when x"1584" => q <= x"08";
5041
             when x"1585" => q <= x"29";
5042
             when x"1586" => q <= x"30";
5043
             when x"1587" => q <= x"01";
5044
             when x"1588" => q <= x"19";
5045
             when x"1589" => q <= x"10";
5046
             when x"158A" => q <= x"FA";
5047
             when x"158B" => q <= x"D1";
5048
             when x"158C" => q <= x"4D";
5049
             when x"158D" => q <= x"44";
5050
             when x"158E" => q <= x"DD";
5051
             when x"158F" => q <= x"7E";
5052
             when x"1590" => q <= x"ED";
5053
             when x"1591" => q <= x"81";
5054
             when x"1592" => q <= x"4F";
5055
             when x"1593" => q <= x"DD";
5056
             when x"1594" => q <= x"7E";
5057
             when x"1595" => q <= x"EE";
5058
             when x"1596" => q <= x"88";
5059
             when x"1597" => q <= x"47";
5060
             when x"1598" => q <= x"DD";
5061
             when x"1599" => q <= x"6E";
5062
             when x"159A" => q <= x"F8";
5063
             when x"159B" => q <= x"DD";
5064
             when x"159C" => q <= x"66";
5065
             when x"159D" => q <= x"F9";
5066
             when x"159E" => q <= x"71";
5067
             when x"159F" => q <= x"23";
5068
             when x"15A0" => q <= x"70";
5069
             when x"15A1" => q <= x"DD";
5070
             when x"15A2" => q <= x"6E";
5071
             when x"15A3" => q <= x"F8";
5072
             when x"15A4" => q <= x"DD";
5073
             when x"15A5" => q <= x"66";
5074
             when x"15A6" => q <= x"F9";
5075
             when x"15A7" => q <= x"7E";
5076
             when x"15A8" => q <= x"DD";
5077
             when x"15A9" => q <= x"77";
5078
             when x"15AA" => q <= x"ED";
5079
             when x"15AB" => q <= x"23";
5080
             when x"15AC" => q <= x"7E";
5081
             when x"15AD" => q <= x"DD";
5082
             when x"15AE" => q <= x"77";
5083
             when x"15AF" => q <= x"EE";
5084
             when x"15B0" => q <= x"4B";
5085
             when x"15B1" => q <= x"42";
5086
             when x"15B2" => q <= x"03";
5087
             when x"15B3" => q <= x"0A";
5088
             when x"15B4" => q <= x"DD";
5089
             when x"15B5" => q <= x"77";
5090
             when x"15B6" => q <= x"F0";
5091
             when x"15B7" => q <= x"D5";
5092
             when x"15B8" => q <= x"DD";
5093
             when x"15B9" => q <= x"5E";
5094
             when x"15BA" => q <= x"EF";
5095
             when x"15BB" => q <= x"DD";
5096
             when x"15BC" => q <= x"66";
5097
             when x"15BD" => q <= x"F0";
5098
             when x"15BE" => q <= x"2E";
5099
             when x"15BF" => q <= x"00";
5100
             when x"15C0" => q <= x"55";
5101
             when x"15C1" => q <= x"06";
5102
             when x"15C2" => q <= x"08";
5103
             when x"15C3" => q <= x"29";
5104
             when x"15C4" => q <= x"30";
5105
             when x"15C5" => q <= x"01";
5106
             when x"15C6" => q <= x"19";
5107
             when x"15C7" => q <= x"10";
5108
             when x"15C8" => q <= x"FA";
5109
             when x"15C9" => q <= x"D1";
5110
             when x"15CA" => q <= x"4D";
5111
             when x"15CB" => q <= x"44";
5112
             when x"15CC" => q <= x"DD";
5113
             when x"15CD" => q <= x"7E";
5114
             when x"15CE" => q <= x"ED";
5115
             when x"15CF" => q <= x"81";
5116
             when x"15D0" => q <= x"4F";
5117
             when x"15D1" => q <= x"DD";
5118
             when x"15D2" => q <= x"7E";
5119
             when x"15D3" => q <= x"EE";
5120
             when x"15D4" => q <= x"88";
5121
             when x"15D5" => q <= x"47";
5122
             when x"15D6" => q <= x"DD";
5123
             when x"15D7" => q <= x"6E";
5124
             when x"15D8" => q <= x"F8";
5125
             when x"15D9" => q <= x"DD";
5126
             when x"15DA" => q <= x"66";
5127
             when x"15DB" => q <= x"F9";
5128
             when x"15DC" => q <= x"71";
5129
             when x"15DD" => q <= x"23";
5130
             when x"15DE" => q <= x"70";
5131
             when x"15DF" => q <= x"D5";
5132
             when x"15E0" => q <= x"DD";
5133
             when x"15E1" => q <= x"5E";
5134
             when x"15E2" => q <= x"F4";
5135
             when x"15E3" => q <= x"DD";
5136
             when x"15E4" => q <= x"66";
5137
             when x"15E5" => q <= x"F0";
5138
             when x"15E6" => q <= x"2E";
5139
             when x"15E7" => q <= x"00";
5140
             when x"15E8" => q <= x"55";
5141
             when x"15E9" => q <= x"06";
5142
             when x"15EA" => q <= x"08";
5143
             when x"15EB" => q <= x"29";
5144
             when x"15EC" => q <= x"30";
5145
             when x"15ED" => q <= x"01";
5146
             when x"15EE" => q <= x"19";
5147
             when x"15EF" => q <= x"10";
5148
             when x"15F0" => q <= x"FA";
5149
             when x"15F1" => q <= x"D1";
5150
             when x"15F2" => q <= x"4D";
5151
             when x"15F3" => q <= x"DD";
5152
             when x"15F4" => q <= x"6E";
5153
             when x"15F5" => q <= x"F1";
5154
             when x"15F6" => q <= x"DD";
5155
             when x"15F7" => q <= x"66";
5156
             when x"15F8" => q <= x"F2";
5157
             when x"15F9" => q <= x"71";
5158
             when x"15FA" => q <= x"21";
5159
             when x"15FB" => q <= x"01";
5160
             when x"15FC" => q <= x"00";
5161
             when x"15FD" => q <= x"19";
5162
             when x"15FE" => q <= x"DD";
5163
             when x"15FF" => q <= x"75";
5164
             when x"1600" => q <= x"ED";
5165
             when x"1601" => q <= x"DD";
5166
             when x"1602" => q <= x"74";
5167
             when x"1603" => q <= x"EE";
5168
             when x"1604" => q <= x"4B";
5169
             when x"1605" => q <= x"42";
5170
             when x"1606" => q <= x"03";
5171
             when x"1607" => q <= x"0A";
5172
             when x"1608" => q <= x"6F";
5173
             when x"1609" => q <= x"D5";
5174
             when x"160A" => q <= x"DD";
5175
             when x"160B" => q <= x"5E";
5176
             when x"160C" => q <= x"FA";
5177
             when x"160D" => q <= x"65";
5178
             when x"160E" => q <= x"2E";
5179
             when x"160F" => q <= x"00";
5180
             when x"1610" => q <= x"55";
5181
             when x"1611" => q <= x"06";
5182
             when x"1612" => q <= x"08";
5183
             when x"1613" => q <= x"29";
5184
             when x"1614" => q <= x"30";
5185
             when x"1615" => q <= x"01";
5186
             when x"1616" => q <= x"19";
5187
             when x"1617" => q <= x"10";
5188
             when x"1618" => q <= x"FA";
5189
             when x"1619" => q <= x"D1";
5190
             when x"161A" => q <= x"4D";
5191
             when x"161B" => q <= x"44";
5192
             when x"161C" => q <= x"DD";
5193
             when x"161D" => q <= x"6E";
5194
             when x"161E" => q <= x"ED";
5195
             when x"161F" => q <= x"DD";
5196
             when x"1620" => q <= x"66";
5197
             when x"1621" => q <= x"EE";
5198
             when x"1622" => q <= x"71";
5199
             when x"1623" => q <= x"23";
5200
             when x"1624" => q <= x"70";
5201
             when x"1625" => q <= x"DD";
5202
             when x"1626" => q <= x"7E";
5203
             when x"1627" => q <= x"F5";
5204
             when x"1628" => q <= x"C6";
5205
             when x"1629" => q <= x"03";
5206
             when x"162A" => q <= x"DD";
5207
             when x"162B" => q <= x"77";
5208
             when x"162C" => q <= x"ED";
5209
             when x"162D" => q <= x"DD";
5210
             when x"162E" => q <= x"7E";
5211
             when x"162F" => q <= x"F6";
5212
             when x"1630" => q <= x"CE";
5213
             when x"1631" => q <= x"00";
5214
             when x"1632" => q <= x"DD";
5215
             when x"1633" => q <= x"77";
5216
             when x"1634" => q <= x"EE";
5217
             when x"1635" => q <= x"DD";
5218
             when x"1636" => q <= x"6E";
5219
             when x"1637" => q <= x"ED";
5220
             when x"1638" => q <= x"DD";
5221
             when x"1639" => q <= x"66";
5222
             when x"163A" => q <= x"EE";
5223
             when x"163B" => q <= x"4E";
5224
             when x"163C" => q <= x"D5";
5225
             when x"163D" => q <= x"59";
5226
             when x"163E" => q <= x"DD";
5227
             when x"163F" => q <= x"66";
5228
             when x"1640" => q <= x"F7";
5229
             when x"1641" => q <= x"2E";
5230
             when x"1642" => q <= x"00";
5231
             when x"1643" => q <= x"55";
5232
             when x"1644" => q <= x"06";
5233
             when x"1645" => q <= x"08";
5234
             when x"1646" => q <= x"29";
5235
             when x"1647" => q <= x"30";
5236
             when x"1648" => q <= x"01";
5237
             when x"1649" => q <= x"19";
5238
             when x"164A" => q <= x"10";
5239
             when x"164B" => q <= x"FA";
5240
             when x"164C" => q <= x"D1";
5241
             when x"164D" => q <= x"4D";
5242
             when x"164E" => q <= x"DD";
5243
             when x"164F" => q <= x"6E";
5244
             when x"1650" => q <= x"ED";
5245
             when x"1651" => q <= x"DD";
5246
             when x"1652" => q <= x"66";
5247
             when x"1653" => q <= x"EE";
5248
             when x"1654" => q <= x"71";
5249
             when x"1655" => q <= x"DD";
5250
             when x"1656" => q <= x"7E";
5251
             when x"1657" => q <= x"F5";
5252
             when x"1658" => q <= x"C6";
5253
             when x"1659" => q <= x"01";
5254
             when x"165A" => q <= x"DD";
5255
             when x"165B" => q <= x"77";
5256
             when x"165C" => q <= x"ED";
5257
             when x"165D" => q <= x"DD";
5258
             when x"165E" => q <= x"7E";
5259
             when x"165F" => q <= x"F6";
5260
             when x"1660" => q <= x"CE";
5261
             when x"1661" => q <= x"00";
5262
             when x"1662" => q <= x"DD";
5263
             when x"1663" => q <= x"77";
5264
             when x"1664" => q <= x"EE";
5265
             when x"1665" => q <= x"DD";
5266
             when x"1666" => q <= x"4E";
5267
             when x"1667" => q <= x"F5";
5268
             when x"1668" => q <= x"DD";
5269
             when x"1669" => q <= x"46";
5270
             when x"166A" => q <= x"F6";
5271
             when x"166B" => q <= x"03";
5272
             when x"166C" => q <= x"0A";
5273
             when x"166D" => q <= x"4F";
5274
             when x"166E" => q <= x"D5";
5275
             when x"166F" => q <= x"59";
5276
             when x"1670" => q <= x"DD";
5277
             when x"1671" => q <= x"66";
5278
             when x"1672" => q <= x"F7";
5279
             when x"1673" => q <= x"2E";
5280
             when x"1674" => q <= x"00";
5281
             when x"1675" => q <= x"55";
5282
             when x"1676" => q <= x"06";
5283
             when x"1677" => q <= x"08";
5284
             when x"1678" => q <= x"29";
5285
             when x"1679" => q <= x"30";
5286
             when x"167A" => q <= x"01";
5287
             when x"167B" => q <= x"19";
5288
             when x"167C" => q <= x"10";
5289
             when x"167D" => q <= x"FA";
5290
             when x"167E" => q <= x"D1";
5291
             when x"167F" => q <= x"4D";
5292
             when x"1680" => q <= x"44";
5293
             when x"1681" => q <= x"DD";
5294
             when x"1682" => q <= x"6E";
5295
             when x"1683" => q <= x"ED";
5296
             when x"1684" => q <= x"DD";
5297
             when x"1685" => q <= x"66";
5298
             when x"1686" => q <= x"EE";
5299
             when x"1687" => q <= x"71";
5300
             when x"1688" => q <= x"23";
5301
             when x"1689" => q <= x"70";
5302
             when x"168A" => q <= x"DD";
5303
             when x"168B" => q <= x"6E";
5304
             when x"168C" => q <= x"F5";
5305
             when x"168D" => q <= x"DD";
5306
             when x"168E" => q <= x"66";
5307
             when x"168F" => q <= x"F6";
5308
             when x"1690" => q <= x"36";
5309
             when x"1691" => q <= x"00";
5310
             when x"1692" => q <= x"3E";
5311
             when x"1693" => q <= x"00";
5312
             when x"1694" => q <= x"12";
5313
             when x"1695" => q <= x"21";
5314
             when x"1696" => q <= x"13";
5315
             when x"1697" => q <= x"00";
5316
             when x"1698" => q <= x"39";
5317
             when x"1699" => q <= x"DD";
5318
             when x"169A" => q <= x"75";
5319
             when x"169B" => q <= x"ED";
5320
             when x"169C" => q <= x"DD";
5321
             when x"169D" => q <= x"74";
5322
             when x"169E" => q <= x"EE";
5323
             when x"169F" => q <= x"7E";
5324
             when x"16A0" => q <= x"DD";
5325
             when x"16A1" => q <= x"77";
5326
             when x"16A2" => q <= x"E9";
5327
             when x"16A3" => q <= x"23";
5328
             when x"16A4" => q <= x"7E";
5329
             when x"16A5" => q <= x"DD";
5330
             when x"16A6" => q <= x"77";
5331
             when x"16A7" => q <= x"EA";
5332
             when x"16A8" => q <= x"23";
5333
             when x"16A9" => q <= x"7E";
5334
             when x"16AA" => q <= x"DD";
5335
             when x"16AB" => q <= x"77";
5336
             when x"16AC" => q <= x"EB";
5337
             when x"16AD" => q <= x"23";
5338
             when x"16AE" => q <= x"7E";
5339
             when x"16AF" => q <= x"DD";
5340
             when x"16B0" => q <= x"77";
5341
             when x"16B1" => q <= x"EC";
5342
             when x"16B2" => q <= x"DD";
5343
             when x"16B3" => q <= x"4E";
5344
             when x"16B4" => q <= x"04";
5345
             when x"16B5" => q <= x"DD";
5346
             when x"16B6" => q <= x"46";
5347
             when x"16B7" => q <= x"05";
5348
             when x"16B8" => q <= x"DD";
5349
             when x"16B9" => q <= x"5E";
5350
             when x"16BA" => q <= x"06";
5351
             when x"16BB" => q <= x"DD";
5352
             when x"16BC" => q <= x"56";
5353
             when x"16BD" => q <= x"07";
5354
             when x"16BE" => q <= x"DD";
5355
             when x"16BF" => q <= x"7E";
5356
             when x"16C0" => q <= x"E9";
5357
             when x"16C1" => q <= x"81";
5358
             when x"16C2" => q <= x"4F";
5359
             when x"16C3" => q <= x"DD";
5360
             when x"16C4" => q <= x"7E";
5361
             when x"16C5" => q <= x"EA";
5362
             when x"16C6" => q <= x"88";
5363
             when x"16C7" => q <= x"47";
5364
             when x"16C8" => q <= x"DD";
5365
             when x"16C9" => q <= x"7E";
5366
             when x"16CA" => q <= x"EB";
5367
             when x"16CB" => q <= x"8B";
5368
             when x"16CC" => q <= x"5F";
5369
             when x"16CD" => q <= x"DD";
5370
             when x"16CE" => q <= x"7E";
5371
             when x"16CF" => q <= x"EC";
5372
             when x"16D0" => q <= x"8A";
5373
             when x"16D1" => q <= x"57";
5374
             when x"16D2" => q <= x"DD";
5375
             when x"16D3" => q <= x"6E";
5376
             when x"16D4" => q <= x"ED";
5377
             when x"16D5" => q <= x"DD";
5378
             when x"16D6" => q <= x"66";
5379
             when x"16D7" => q <= x"EE";
5380
             when x"16D8" => q <= x"71";
5381
             when x"16D9" => q <= x"23";
5382
             when x"16DA" => q <= x"70";
5383
             when x"16DB" => q <= x"23";
5384
             when x"16DC" => q <= x"73";
5385
             when x"16DD" => q <= x"23";
5386
             when x"16DE" => q <= x"72";
5387
             when x"16DF" => q <= x"DD";
5388
             when x"16E0" => q <= x"6E";
5389
             when x"16E1" => q <= x"ED";
5390
             when x"16E2" => q <= x"DD";
5391
             when x"16E3" => q <= x"66";
5392
             when x"16E4" => q <= x"EE";
5393
             when x"16E5" => q <= x"23";
5394
             when x"16E6" => q <= x"23";
5395
             when x"16E7" => q <= x"23";
5396
             when x"16E8" => q <= x"79";
5397
             when x"16E9" => q <= x"DD";
5398
             when x"16EA" => q <= x"86";
5399
             when x"16EB" => q <= x"08";
5400
             when x"16EC" => q <= x"4F";
5401
             when x"16ED" => q <= x"78";
5402
             when x"16EE" => q <= x"DD";
5403
             when x"16EF" => q <= x"8E";
5404
             when x"16F0" => q <= x"09";
5405
             when x"16F1" => q <= x"47";
5406
             when x"16F2" => q <= x"7B";
5407
             when x"16F3" => q <= x"DD";
5408
             when x"16F4" => q <= x"8E";
5409
             when x"16F5" => q <= x"0A";
5410
             when x"16F6" => q <= x"5F";
5411
             when x"16F7" => q <= x"7A";
5412
             when x"16F8" => q <= x"DD";
5413
             when x"16F9" => q <= x"8E";
5414
             when x"16FA" => q <= x"0B";
5415
             when x"16FB" => q <= x"57";
5416
             when x"16FC" => q <= x"69";
5417
             when x"16FD" => q <= x"60";
5418
             when x"16FE" => q <= x"DD";
5419
             when x"16FF" => q <= x"F9";
5420
             when x"1700" => q <= x"DD";
5421
             when x"1701" => q <= x"E1";
5422
             when x"1702" => q <= x"C9";
5423
             when x"1703" => q <= x"DD";
5424
             when x"1704" => q <= x"E5";
5425
             when x"1705" => q <= x"DD";
5426
             when x"1706" => q <= x"21";
5427
             when x"1707" => q <= x"00";
5428
             when x"1708" => q <= x"00";
5429
             when x"1709" => q <= x"DD";
5430
             when x"170A" => q <= x"39";
5431
             when x"170B" => q <= x"DD";
5432
             when x"170C" => q <= x"7E";
5433
             when x"170D" => q <= x"04";
5434
             when x"170E" => q <= x"C6";
5435
             when x"170F" => q <= x"30";
5436
             when x"1710" => q <= x"4F";
5437
             when x"1711" => q <= x"3E";
5438
             when x"1712" => q <= x"39";
5439
             when x"1713" => q <= x"91";
5440
             when x"1714" => q <= x"30";
5441
             when x"1715" => q <= x"0E";
5442
             when x"1716" => q <= x"79";
5443
             when x"1717" => q <= x"C6";
5444
             when x"1718" => q <= x"07";
5445
             when x"1719" => q <= x"4F";
5446
             when x"171A" => q <= x"DD";
5447
             when x"171B" => q <= x"CB";
5448
             when x"171C" => q <= x"05";
5449
             when x"171D" => q <= x"46";
5450
             when x"171E" => q <= x"28";
5451
             when x"171F" => q <= x"04";
5452
             when x"1720" => q <= x"79";
5453
             when x"1721" => q <= x"C6";
5454
             when x"1722" => q <= x"20";
5455
             when x"1723" => q <= x"4F";
5456
             when x"1724" => q <= x"DD";
5457
             when x"1725" => q <= x"6E";
5458
             when x"1726" => q <= x"08";
5459
             when x"1727" => q <= x"DD";
5460
             when x"1728" => q <= x"66";
5461
             when x"1729" => q <= x"09";
5462
             when x"172A" => q <= x"E5";
5463
             when x"172B" => q <= x"79";
5464
             when x"172C" => q <= x"F5";
5465
             when x"172D" => q <= x"33";
5466
             when x"172E" => q <= x"21";
5467
             when x"172F" => q <= x"39";
5468
             when x"1730" => q <= x"17";
5469
             when x"1731" => q <= x"E5";
5470
             when x"1732" => q <= x"DD";
5471
             when x"1733" => q <= x"6E";
5472
             when x"1734" => q <= x"06";
5473
             when x"1735" => q <= x"DD";
5474
             when x"1736" => q <= x"66";
5475
             when x"1737" => q <= x"07";
5476
             when x"1738" => q <= x"E9";
5477
             when x"1739" => q <= x"F1";
5478
             when x"173A" => q <= x"33";
5479
             when x"173B" => q <= x"DD";
5480
             when x"173C" => q <= x"E1";
5481
             when x"173D" => q <= x"C9";
5482
             when x"173E" => q <= x"DD";
5483
             when x"173F" => q <= x"E5";
5484
             when x"1740" => q <= x"DD";
5485
             when x"1741" => q <= x"21";
5486
             when x"1742" => q <= x"00";
5487
             when x"1743" => q <= x"00";
5488
             when x"1744" => q <= x"DD";
5489
             when x"1745" => q <= x"39";
5490
             when x"1746" => q <= x"DD";
5491
             when x"1747" => q <= x"4E";
5492
             when x"1748" => q <= x"04";
5493
             when x"1749" => q <= x"CB";
5494
             when x"174A" => q <= x"39";
5495
             when x"174B" => q <= x"CB";
5496
             when x"174C" => q <= x"39";
5497
             when x"174D" => q <= x"CB";
5498
             when x"174E" => q <= x"39";
5499
             when x"174F" => q <= x"CB";
5500
             when x"1750" => q <= x"39";
5501
             when x"1751" => q <= x"DD";
5502
             when x"1752" => q <= x"6E";
5503
             when x"1753" => q <= x"08";
5504
             when x"1754" => q <= x"DD";
5505
             when x"1755" => q <= x"66";
5506
             when x"1756" => q <= x"09";
5507
             when x"1757" => q <= x"E5";
5508
             when x"1758" => q <= x"DD";
5509
             when x"1759" => q <= x"6E";
5510
             when x"175A" => q <= x"06";
5511
             when x"175B" => q <= x"DD";
5512
             when x"175C" => q <= x"66";
5513
             when x"175D" => q <= x"07";
5514
             when x"175E" => q <= x"E5";
5515
             when x"175F" => q <= x"DD";
5516
             when x"1760" => q <= x"46";
5517
             when x"1761" => q <= x"05";
5518
             when x"1762" => q <= x"C5";
5519
             when x"1763" => q <= x"CD";
5520
             when x"1764" => q <= x"03";
5521
             when x"1765" => q <= x"17";
5522
             when x"1766" => q <= x"F1";
5523
             when x"1767" => q <= x"F1";
5524
             when x"1768" => q <= x"F1";
5525
             when x"1769" => q <= x"DD";
5526
             when x"176A" => q <= x"7E";
5527
             when x"176B" => q <= x"04";
5528
             when x"176C" => q <= x"E6";
5529
             when x"176D" => q <= x"0F";
5530
             when x"176E" => q <= x"4F";
5531
             when x"176F" => q <= x"DD";
5532
             when x"1770" => q <= x"6E";
5533
             when x"1771" => q <= x"08";
5534
             when x"1772" => q <= x"DD";
5535
             when x"1773" => q <= x"66";
5536
             when x"1774" => q <= x"09";
5537
             when x"1775" => q <= x"E5";
5538
             when x"1776" => q <= x"DD";
5539
             when x"1777" => q <= x"6E";
5540
             when x"1778" => q <= x"06";
5541
             when x"1779" => q <= x"DD";
5542
             when x"177A" => q <= x"66";
5543
             when x"177B" => q <= x"07";
5544
             when x"177C" => q <= x"E5";
5545
             when x"177D" => q <= x"DD";
5546
             when x"177E" => q <= x"46";
5547
             when x"177F" => q <= x"05";
5548
             when x"1780" => q <= x"C5";
5549
             when x"1781" => q <= x"CD";
5550
             when x"1782" => q <= x"03";
5551
             when x"1783" => q <= x"17";
5552
             when x"1784" => q <= x"F1";
5553
             when x"1785" => q <= x"F1";
5554
             when x"1786" => q <= x"F1";
5555
             when x"1787" => q <= x"DD";
5556
             when x"1788" => q <= x"E1";
5557
             when x"1789" => q <= x"C9";
5558
             when x"178A" => q <= x"DD";
5559
             when x"178B" => q <= x"E5";
5560
             when x"178C" => q <= x"DD";
5561
             when x"178D" => q <= x"21";
5562
             when x"178E" => q <= x"00";
5563
             when x"178F" => q <= x"00";
5564
             when x"1790" => q <= x"DD";
5565
             when x"1791" => q <= x"39";
5566
             when x"1792" => q <= x"21";
5567
             when x"1793" => q <= x"F7";
5568
             when x"1794" => q <= x"FF";
5569
             when x"1795" => q <= x"39";
5570
             when x"1796" => q <= x"F9";
5571
             when x"1797" => q <= x"DD";
5572
             when x"1798" => q <= x"7E";
5573
             when x"1799" => q <= x"04";
5574
             when x"179A" => q <= x"DD";
5575
             when x"179B" => q <= x"77";
5576
             when x"179C" => q <= x"F7";
5577
             when x"179D" => q <= x"DD";
5578
             when x"179E" => q <= x"7E";
5579
             when x"179F" => q <= x"05";
5580
             when x"17A0" => q <= x"DD";
5581
             when x"17A1" => q <= x"77";
5582
             when x"17A2" => q <= x"F8";
5583
             when x"17A3" => q <= x"DD";
5584
             when x"17A4" => q <= x"6E";
5585
             when x"17A5" => q <= x"F7";
5586
             when x"17A6" => q <= x"DD";
5587
             when x"17A7" => q <= x"66";
5588
             when x"17A8" => q <= x"F8";
5589
             when x"17A9" => q <= x"4E";
5590
             when x"17AA" => q <= x"23";
5591
             when x"17AB" => q <= x"46";
5592
             when x"17AC" => q <= x"23";
5593
             when x"17AD" => q <= x"5E";
5594
             when x"17AE" => q <= x"23";
5595
             when x"17AF" => q <= x"56";
5596
             when x"17B0" => q <= x"DD";
5597
             when x"17B1" => q <= x"71";
5598
             when x"17B2" => q <= x"FC";
5599
             when x"17B3" => q <= x"DD";
5600
             when x"17B4" => q <= x"70";
5601
             when x"17B5" => q <= x"FD";
5602
             when x"17B6" => q <= x"DD";
5603
             when x"17B7" => q <= x"73";
5604
             when x"17B8" => q <= x"FE";
5605
             when x"17B9" => q <= x"DD";
5606
             when x"17BA" => q <= x"72";
5607
             when x"17BB" => q <= x"FF";
5608
             when x"17BC" => q <= x"DD";
5609
             when x"17BD" => q <= x"7E";
5610
             when x"17BE" => q <= x"F7";
5611
             when x"17BF" => q <= x"C6";
5612
             when x"17C0" => q <= x"04";
5613
             when x"17C1" => q <= x"DD";
5614
             when x"17C2" => q <= x"77";
5615
             when x"17C3" => q <= x"FA";
5616
             when x"17C4" => q <= x"DD";
5617
             when x"17C5" => q <= x"7E";
5618
             when x"17C6" => q <= x"F8";
5619
             when x"17C7" => q <= x"CE";
5620
             when x"17C8" => q <= x"00";
5621
             when x"17C9" => q <= x"DD";
5622
             when x"17CA" => q <= x"77";
5623
             when x"17CB" => q <= x"FB";
5624
             when x"17CC" => q <= x"DD";
5625
             when x"17CD" => q <= x"36";
5626
             when x"17CE" => q <= x"F9";
5627
             when x"17CF" => q <= x"20";
5628
             when x"17D0" => q <= x"DD";
5629
             when x"17D1" => q <= x"6E";
5630
             when x"17D2" => q <= x"FA";
5631
             when x"17D3" => q <= x"DD";
5632
             when x"17D4" => q <= x"66";
5633
             when x"17D5" => q <= x"FB";
5634
             when x"17D6" => q <= x"7E";
5635
             when x"17D7" => q <= x"87";
5636
             when x"17D8" => q <= x"57";
5637
             when x"17D9" => q <= x"DD";
5638
             when x"17DA" => q <= x"7E";
5639
             when x"17DB" => q <= x"FF";
5640
             when x"17DC" => q <= x"CB";
5641
             when x"17DD" => q <= x"07";
5642
             when x"17DE" => q <= x"E6";
5643
             when x"17DF" => q <= x"01";
5644
             when x"17E0" => q <= x"B2";
5645
             when x"17E1" => q <= x"DD";
5646
             when x"17E2" => q <= x"6E";
5647
             when x"17E3" => q <= x"FA";
5648
             when x"17E4" => q <= x"DD";
5649
             when x"17E5" => q <= x"66";
5650
             when x"17E6" => q <= x"FB";
5651
             when x"17E7" => q <= x"77";
5652
             when x"17E8" => q <= x"3E";
5653
             when x"17E9" => q <= x"01";
5654
             when x"17EA" => q <= x"F5";
5655
             when x"17EB" => q <= x"33";
5656
             when x"17EC" => q <= x"DD";
5657
             when x"17ED" => q <= x"6E";
5658
             when x"17EE" => q <= x"FE";
5659
             when x"17EF" => q <= x"DD";
5660
             when x"17F0" => q <= x"66";
5661
             when x"17F1" => q <= x"FF";
5662
             when x"17F2" => q <= x"E5";
5663
             when x"17F3" => q <= x"DD";
5664
             when x"17F4" => q <= x"6E";
5665
             when x"17F5" => q <= x"FC";
5666
             when x"17F6" => q <= x"DD";
5667
             when x"17F7" => q <= x"66";
5668
             when x"17F8" => q <= x"FD";
5669
             when x"17F9" => q <= x"E5";
5670
             when x"17FA" => q <= x"CD";
5671
             when x"17FB" => q <= x"63";
5672
             when x"17FC" => q <= x"0F";
5673
             when x"17FD" => q <= x"F1";
5674
             when x"17FE" => q <= x"F1";
5675
             when x"17FF" => q <= x"33";
5676
             when x"1800" => q <= x"42";
5677
             when x"1801" => q <= x"4B";
5678
             when x"1802" => q <= x"DD";
5679
             when x"1803" => q <= x"75";
5680
             when x"1804" => q <= x"FC";
5681
             when x"1805" => q <= x"DD";
5682
             when x"1806" => q <= x"74";
5683
             when x"1807" => q <= x"FD";
5684
             when x"1808" => q <= x"DD";
5685
             when x"1809" => q <= x"71";
5686
             when x"180A" => q <= x"FE";
5687
             when x"180B" => q <= x"DD";
5688
             when x"180C" => q <= x"70";
5689
             when x"180D" => q <= x"FF";
5690
             when x"180E" => q <= x"DD";
5691
             when x"180F" => q <= x"6E";
5692
             when x"1810" => q <= x"FA";
5693
             when x"1811" => q <= x"DD";
5694
             when x"1812" => q <= x"66";
5695
             when x"1813" => q <= x"FB";
5696
             when x"1814" => q <= x"6E";
5697
             when x"1815" => q <= x"7D";
5698
             when x"1816" => q <= x"DD";
5699
             when x"1817" => q <= x"96";
5700
             when x"1818" => q <= x"06";
5701
             when x"1819" => q <= x"38";
5702
             when x"181A" => q <= x"0F";
5703
             when x"181B" => q <= x"7D";
5704
             when x"181C" => q <= x"DD";
5705
             when x"181D" => q <= x"96";
5706
             when x"181E" => q <= x"06";
5707
             when x"181F" => q <= x"DD";
5708
             when x"1820" => q <= x"6E";
5709
             when x"1821" => q <= x"FA";
5710
             when x"1822" => q <= x"DD";
5711
             when x"1823" => q <= x"66";
5712
             when x"1824" => q <= x"FB";
5713
             when x"1825" => q <= x"77";
5714
             when x"1826" => q <= x"DD";
5715
             when x"1827" => q <= x"CB";
5716
             when x"1828" => q <= x"FC";
5717
             when x"1829" => q <= x"C6";
5718
             when x"182A" => q <= x"DD";
5719
             when x"182B" => q <= x"35";
5720
             when x"182C" => q <= x"F9";
5721
             when x"182D" => q <= x"20";
5722
             when x"182E" => q <= x"A1";
5723
             when x"182F" => q <= x"DD";
5724
             when x"1830" => q <= x"6E";
5725
             when x"1831" => q <= x"F7";
5726
             when x"1832" => q <= x"DD";
5727
             when x"1833" => q <= x"66";
5728
             when x"1834" => q <= x"F8";
5729
             when x"1835" => q <= x"DD";
5730
             when x"1836" => q <= x"7E";
5731
             when x"1837" => q <= x"FC";
5732
             when x"1838" => q <= x"77";
5733
             when x"1839" => q <= x"23";
5734
             when x"183A" => q <= x"DD";
5735
             when x"183B" => q <= x"7E";
5736
             when x"183C" => q <= x"FD";
5737
             when x"183D" => q <= x"77";
5738
             when x"183E" => q <= x"23";
5739
             when x"183F" => q <= x"DD";
5740
             when x"1840" => q <= x"7E";
5741
             when x"1841" => q <= x"FE";
5742
             when x"1842" => q <= x"77";
5743
             when x"1843" => q <= x"23";
5744
             when x"1844" => q <= x"DD";
5745
             when x"1845" => q <= x"7E";
5746
             when x"1846" => q <= x"FF";
5747
             when x"1847" => q <= x"77";
5748
             when x"1848" => q <= x"DD";
5749
             when x"1849" => q <= x"F9";
5750
             when x"184A" => q <= x"DD";
5751
             when x"184B" => q <= x"E1";
5752
             when x"184C" => q <= x"C9";
5753
             when x"184D" => q <= x"DD";
5754
             when x"184E" => q <= x"E5";
5755
             when x"184F" => q <= x"DD";
5756
             when x"1850" => q <= x"21";
5757
             when x"1851" => q <= x"00";
5758
             when x"1852" => q <= x"00";
5759
             when x"1853" => q <= x"DD";
5760
             when x"1854" => q <= x"39";
5761
             when x"1855" => q <= x"21";
5762
             when x"1856" => q <= x"D1";
5763
             when x"1857" => q <= x"FF";
5764
             when x"1858" => q <= x"39";
5765
             when x"1859" => q <= x"F9";
5766
             when x"185A" => q <= x"DD";
5767
             when x"185B" => q <= x"36";
5768
             when x"185C" => q <= x"E0";
5769
             when x"185D" => q <= x"00";
5770
             when x"185E" => q <= x"DD";
5771
             when x"185F" => q <= x"36";
5772
             when x"1860" => q <= x"E1";
5773
             when x"1861" => q <= x"00";
5774
             when x"1862" => q <= x"21";
5775
             when x"1863" => q <= x"21";
5776
             when x"1864" => q <= x"00";
5777
             when x"1865" => q <= x"39";
5778
             when x"1866" => q <= x"DD";
5779
             when x"1867" => q <= x"75";
5780
             when x"1868" => q <= x"DC";
5781
             when x"1869" => q <= x"DD";
5782
             when x"186A" => q <= x"74";
5783
             when x"186B" => q <= x"DD";
5784
             when x"186C" => q <= x"21";
5785
             when x"186D" => q <= x"17";
5786
             when x"186E" => q <= x"00";
5787
             when x"186F" => q <= x"39";
5788
             when x"1870" => q <= x"7D";
5789
             when x"1871" => q <= x"C6";
5790
             when x"1872" => q <= x"05";
5791
             when x"1873" => q <= x"DD";
5792
             when x"1874" => q <= x"77";
5793
             when x"1875" => q <= x"E4";
5794
             when x"1876" => q <= x"7C";
5795
             when x"1877" => q <= x"CE";
5796
             when x"1878" => q <= x"00";
5797
             when x"1879" => q <= x"DD";
5798
             when x"187A" => q <= x"77";
5799
             when x"187B" => q <= x"E5";
5800
             when x"187C" => q <= x"21";
5801
             when x"187D" => q <= x"21";
5802
             when x"187E" => q <= x"00";
5803
             when x"187F" => q <= x"39";
5804
             when x"1880" => q <= x"DD";
5805
             when x"1881" => q <= x"75";
5806
             when x"1882" => q <= x"E2";
5807
             when x"1883" => q <= x"DD";
5808
             when x"1884" => q <= x"74";
5809
             when x"1885" => q <= x"E3";
5810
             when x"1886" => q <= x"DD";
5811
             when x"1887" => q <= x"6E";
5812
             when x"1888" => q <= x"08";
5813
             when x"1889" => q <= x"DD";
5814
             when x"188A" => q <= x"66";
5815
             when x"188B" => q <= x"09";
5816
             when x"188C" => q <= x"4E";
5817
             when x"188D" => q <= x"7D";
5818
             when x"188E" => q <= x"C6";
5819
             when x"188F" => q <= x"01";
5820
             when x"1890" => q <= x"DD";
5821
             when x"1891" => q <= x"77";
5822
             when x"1892" => q <= x"08";
5823
             when x"1893" => q <= x"7C";
5824
             when x"1894" => q <= x"CE";
5825
             when x"1895" => q <= x"00";
5826
             when x"1896" => q <= x"DD";
5827
             when x"1897" => q <= x"77";
5828
             when x"1898" => q <= x"09";
5829
             when x"1899" => q <= x"41";
5830
             when x"189A" => q <= x"AF";
5831
             when x"189B" => q <= x"B1";
5832
             when x"189C" => q <= x"CA";
5833
             when x"189D" => q <= x"2D";
5834
             when x"189E" => q <= x"22";
5835
             when x"189F" => q <= x"78";
5836
             when x"18A0" => q <= x"D6";
5837
             when x"18A1" => q <= x"25";
5838
             when x"18A2" => q <= x"C2";
5839
             when x"18A3" => q <= x"0B";
5840
             when x"18A4" => q <= x"22";
5841
             when x"18A5" => q <= x"DD";
5842
             when x"18A6" => q <= x"36";
5843
             when x"18A7" => q <= x"FF";
5844
             when x"18A8" => q <= x"00";
5845
             when x"18A9" => q <= x"DD";
5846
             when x"18AA" => q <= x"36";
5847
             when x"18AB" => q <= x"FE";
5848
             when x"18AC" => q <= x"00";
5849
             when x"18AD" => q <= x"DD";
5850
             when x"18AE" => q <= x"36";
5851
             when x"18AF" => q <= x"FD";
5852
             when x"18B0" => q <= x"00";
5853
             when x"18B1" => q <= x"DD";
5854
             when x"18B2" => q <= x"36";
5855
             when x"18B3" => q <= x"FC";
5856
             when x"18B4" => q <= x"00";
5857
             when x"18B5" => q <= x"DD";
5858
             when x"18B6" => q <= x"36";
5859
             when x"18B7" => q <= x"FB";
5860
             when x"18B8" => q <= x"00";
5861
             when x"18B9" => q <= x"DD";
5862
             when x"18BA" => q <= x"36";
5863
             when x"18BB" => q <= x"FA";
5864
             when x"18BC" => q <= x"00";
5865
             when x"18BD" => q <= x"DD";
5866
             when x"18BE" => q <= x"36";
5867
             when x"18BF" => q <= x"F9";
5868
             when x"18C0" => q <= x"00";
5869
             when x"18C1" => q <= x"DD";
5870
             when x"18C2" => q <= x"36";
5871
             when x"18C3" => q <= x"F8";
5872
             when x"18C4" => q <= x"00";
5873
             when x"18C5" => q <= x"DD";
5874
             when x"18C6" => q <= x"36";
5875
             when x"18C7" => q <= x"F1";
5876
             when x"18C8" => q <= x"00";
5877
             when x"18C9" => q <= x"DD";
5878
             when x"18CA" => q <= x"36";
5879
             when x"18CB" => q <= x"F0";
5880
             when x"18CC" => q <= x"00";
5881
             when x"18CD" => q <= x"DD";
5882
             when x"18CE" => q <= x"36";
5883
             when x"18CF" => q <= x"EF";
5884
             when x"18D0" => q <= x"FF";
5885
             when x"18D1" => q <= x"DD";
5886
             when x"18D2" => q <= x"5E";
5887
             when x"18D3" => q <= x"08";
5888
             when x"18D4" => q <= x"DD";
5889
             when x"18D5" => q <= x"56";
5890
             when x"18D6" => q <= x"09";
5891
             when x"18D7" => q <= x"1A";
5892
             when x"18D8" => q <= x"4F";
5893
             when x"18D9" => q <= x"13";
5894
             when x"18DA" => q <= x"DD";
5895
             when x"18DB" => q <= x"73";
5896
             when x"18DC" => q <= x"08";
5897
             when x"18DD" => q <= x"DD";
5898
             when x"18DE" => q <= x"72";
5899
             when x"18DF" => q <= x"09";
5900
             when x"18E0" => q <= x"41";
5901
             when x"18E1" => q <= x"78";
5902
             when x"18E2" => q <= x"D6";
5903
             when x"18E3" => q <= x"25";
5904
             when x"18E4" => q <= x"20";
5905
             when x"18E5" => q <= x"21";
5906
             when x"18E6" => q <= x"DD";
5907
             when x"18E7" => q <= x"6E";
5908
             when x"18E8" => q <= x"06";
5909
             when x"18E9" => q <= x"DD";
5910
             when x"18EA" => q <= x"66";
5911
             when x"18EB" => q <= x"07";
5912
             when x"18EC" => q <= x"E5";
5913
             when x"18ED" => q <= x"C5";
5914
             when x"18EE" => q <= x"33";
5915
             when x"18EF" => q <= x"21";
5916
             when x"18F0" => q <= x"FA";
5917
             when x"18F1" => q <= x"18";
5918
             when x"18F2" => q <= x"E5";
5919
             when x"18F3" => q <= x"DD";
5920
             when x"18F4" => q <= x"6E";
5921
             when x"18F5" => q <= x"04";
5922
             when x"18F6" => q <= x"DD";
5923
             when x"18F7" => q <= x"66";
5924
             when x"18F8" => q <= x"05";
5925
             when x"18F9" => q <= x"E9";
5926
             when x"18FA" => q <= x"F1";
5927
             when x"18FB" => q <= x"33";
5928
             when x"18FC" => q <= x"DD";
5929
             when x"18FD" => q <= x"34";
5930
             when x"18FE" => q <= x"E0";
5931
             when x"18FF" => q <= x"20";
5932
             when x"1900" => q <= x"85";
5933
             when x"1901" => q <= x"DD";
5934
             when x"1902" => q <= x"34";
5935
             when x"1903" => q <= x"E1";
5936
             when x"1904" => q <= x"C3";
5937
             when x"1905" => q <= x"86";
5938
             when x"1906" => q <= x"18";
5939
             when x"1907" => q <= x"78";
5940
             when x"1908" => q <= x"D6";
5941
             when x"1909" => q <= x"30";
5942
             when x"190A" => q <= x"38";
5943
             when x"190B" => q <= x"3A";
5944
             when x"190C" => q <= x"68";
5945
             when x"190D" => q <= x"3E";
5946
             when x"190E" => q <= x"39";
5947
             when x"190F" => q <= x"95";
5948
             when x"1910" => q <= x"38";
5949
             when x"1911" => q <= x"34";
5950
             when x"1912" => q <= x"DD";
5951
             when x"1913" => q <= x"7E";
5952
             when x"1914" => q <= x"EF";
5953
             when x"1915" => q <= x"3C";
5954
             when x"1916" => q <= x"20";
5955
             when x"1917" => q <= x"1C";
5956
             when x"1918" => q <= x"D5";
5957
             when x"1919" => q <= x"DD";
5958
             when x"191A" => q <= x"7E";
5959
             when x"191B" => q <= x"F0";
5960
             when x"191C" => q <= x"5F";
5961
             when x"191D" => q <= x"87";
5962
             when x"191E" => q <= x"87";
5963
             when x"191F" => q <= x"83";
5964
             when x"1920" => q <= x"87";
5965
             when x"1921" => q <= x"D1";
5966
             when x"1922" => q <= x"80";
5967
             when x"1923" => q <= x"C6";
5968
             when x"1924" => q <= x"D0";
5969
             when x"1925" => q <= x"DD";
5970
             when x"1926" => q <= x"77";
5971
             when x"1927" => q <= x"F0";
5972
             when x"1928" => q <= x"AF";
5973
             when x"1929" => q <= x"DD";
5974
             when x"192A" => q <= x"B6";
5975
             when x"192B" => q <= x"F0";
5976
             when x"192C" => q <= x"20";
5977
             when x"192D" => q <= x"A9";
5978
             when x"192E" => q <= x"DD";
5979
             when x"192F" => q <= x"36";
5980
             when x"1930" => q <= x"FE";
5981
             when x"1931" => q <= x"01";
5982
             when x"1932" => q <= x"18";
5983
             when x"1933" => q <= x"A3";
5984
             when x"1934" => q <= x"D5";
5985
             when x"1935" => q <= x"DD";
5986
             when x"1936" => q <= x"7E";
5987
             when x"1937" => q <= x"EF";
5988
             when x"1938" => q <= x"5F";
5989
             when x"1939" => q <= x"87";
5990
             when x"193A" => q <= x"87";
5991
             when x"193B" => q <= x"83";
5992
             when x"193C" => q <= x"87";
5993
             when x"193D" => q <= x"D1";
5994
             when x"193E" => q <= x"80";
5995
             when x"193F" => q <= x"C6";
5996
             when x"1940" => q <= x"D0";
5997
             when x"1941" => q <= x"DD";
5998
             when x"1942" => q <= x"77";
5999
             when x"1943" => q <= x"EF";
6000
             when x"1944" => q <= x"18";
6001
             when x"1945" => q <= x"91";
6002
             when x"1946" => q <= x"78";
6003
             when x"1947" => q <= x"D6";
6004
             when x"1948" => q <= x"2E";
6005
             when x"1949" => q <= x"20";
6006
             when x"194A" => q <= x"0D";
6007
             when x"194B" => q <= x"DD";
6008
             when x"194C" => q <= x"7E";
6009
             when x"194D" => q <= x"EF";
6010
             when x"194E" => q <= x"3C";
6011
             when x"194F" => q <= x"20";
6012
             when x"1950" => q <= x"86";
6013
             when x"1951" => q <= x"DD";
6014
             when x"1952" => q <= x"36";
6015
             when x"1953" => q <= x"EF";
6016
             when x"1954" => q <= x"00";
6017
             when x"1955" => q <= x"C3";
6018
             when x"1956" => q <= x"D7";
6019
             when x"1957" => q <= x"18";
6020
             when x"1958" => q <= x"78";
6021
             when x"1959" => q <= x"D6";
6022
             when x"195A" => q <= x"61";
6023
             when x"195B" => q <= x"38";
6024
             when x"195C" => q <= x"10";
6025
             when x"195D" => q <= x"68";
6026
             when x"195E" => q <= x"3E";
6027
             when x"195F" => q <= x"7A";
6028
             when x"1960" => q <= x"95";
6029
             when x"1961" => q <= x"38";
6030
             when x"1962" => q <= x"0A";
6031
             when x"1963" => q <= x"78";
6032
             when x"1964" => q <= x"E6";
6033
             when x"1965" => q <= x"DF";
6034
             when x"1966" => q <= x"47";
6035
             when x"1967" => q <= x"DD";
6036
             when x"1968" => q <= x"36";
6037
             when x"1969" => q <= x"F7";
6038
             when x"196A" => q <= x"01";
6039
             when x"196B" => q <= x"18";
6040
             when x"196C" => q <= x"04";
6041
             when x"196D" => q <= x"DD";
6042
             when x"196E" => q <= x"36";
6043
             when x"196F" => q <= x"F7";
6044
             when x"1970" => q <= x"00";
6045
             when x"1971" => q <= x"78";
6046
             when x"1972" => q <= x"FE";
6047
             when x"1973" => q <= x"20";
6048
             when x"1974" => q <= x"28";
6049
             when x"1975" => q <= x"4D";
6050
             when x"1976" => q <= x"FE";
6051
             when x"1977" => q <= x"2B";
6052
             when x"1978" => q <= x"28";
6053
             when x"1979" => q <= x"42";
6054
             when x"197A" => q <= x"FE";
6055
             when x"197B" => q <= x"2D";
6056
             when x"197C" => q <= x"28";
6057
             when x"197D" => q <= x"37";
6058
             when x"197E" => q <= x"FE";
6059
             when x"197F" => q <= x"42";
6060
             when x"1980" => q <= x"28";
6061
             when x"1981" => q <= x"48";
6062
             when x"1982" => q <= x"FE";
6063
             when x"1983" => q <= x"43";
6064
             when x"1984" => q <= x"28";
6065
             when x"1985" => q <= x"52";
6066
             when x"1986" => q <= x"FE";
6067
             when x"1987" => q <= x"44";
6068
             when x"1988" => q <= x"CA";
6069
             when x"1989" => q <= x"54";
6070
             when x"198A" => q <= x"1C";
6071
             when x"198B" => q <= x"FE";
6072
             when x"198C" => q <= x"46";
6073
             when x"198D" => q <= x"CA";
6074
             when x"198E" => q <= x"70";
6075
             when x"198F" => q <= x"1C";
6076
             when x"1990" => q <= x"FE";
6077
             when x"1991" => q <= x"49";
6078
             when x"1992" => q <= x"CA";
6079
             when x"1993" => q <= x"54";
6080
             when x"1994" => q <= x"1C";
6081
             when x"1995" => q <= x"FE";
6082
             when x"1996" => q <= x"4C";
6083
             when x"1997" => q <= x"28";
6084
             when x"1998" => q <= x"38";
6085
             when x"1999" => q <= x"FE";
6086
             when x"199A" => q <= x"4F";
6087
             when x"199B" => q <= x"CA";
6088
             when x"199C" => q <= x"5E";
6089
             when x"199D" => q <= x"1C";
6090
             when x"199E" => q <= x"FE";
6091
             when x"199F" => q <= x"50";
6092
             when x"19A0" => q <= x"CA";
6093
             when x"19A1" => q <= x"99";
6094
             when x"19A2" => q <= x"1B";
6095
             when x"19A3" => q <= x"FE";
6096
             when x"19A4" => q <= x"53";
6097
             when x"19A5" => q <= x"CA";
6098
             when x"19A6" => q <= x"2E";
6099
             when x"19A7" => q <= x"1A";
6100
             when x"19A8" => q <= x"FE";
6101
             when x"19A9" => q <= x"55";
6102
             when x"19AA" => q <= x"CA";
6103
             when x"19AB" => q <= x"64";
6104
             when x"19AC" => q <= x"1C";
6105
             when x"19AD" => q <= x"D6";
6106
             when x"19AE" => q <= x"58";
6107
             when x"19AF" => q <= x"CA";
6108
             when x"19B0" => q <= x"6A";
6109
             when x"19B1" => q <= x"1C";
6110
             when x"19B2" => q <= x"C3";
6111
             when x"19B3" => q <= x"76";
6112
             when x"19B4" => q <= x"1C";
6113
             when x"19B5" => q <= x"DD";
6114
             when x"19B6" => q <= x"36";
6115
             when x"19B7" => q <= x"FF";
6116
             when x"19B8" => q <= x"01";
6117
             when x"19B9" => q <= x"C3";
6118
             when x"19BA" => q <= x"D7";
6119
             when x"19BB" => q <= x"18";
6120
             when x"19BC" => q <= x"DD";
6121
             when x"19BD" => q <= x"36";
6122
             when x"19BE" => q <= x"FD";
6123
             when x"19BF" => q <= x"01";
6124
             when x"19C0" => q <= x"C3";
6125
             when x"19C1" => q <= x"D7";
6126
             when x"19C2" => q <= x"18";
6127
             when x"19C3" => q <= x"DD";
6128
             when x"19C4" => q <= x"36";
6129
             when x"19C5" => q <= x"FC";
6130
             when x"19C6" => q <= x"01";
6131
             when x"19C7" => q <= x"C3";
6132
             when x"19C8" => q <= x"D7";
6133
             when x"19C9" => q <= x"18";
6134
             when x"19CA" => q <= x"DD";
6135
             when x"19CB" => q <= x"36";
6136
             when x"19CC" => q <= x"FA";
6137
             when x"19CD" => q <= x"01";
6138
             when x"19CE" => q <= x"C3";
6139
             when x"19CF" => q <= x"D7";
6140
             when x"19D0" => q <= x"18";
6141
             when x"19D1" => q <= x"DD";
6142
             when x"19D2" => q <= x"36";
6143
             when x"19D3" => q <= x"F9";
6144
             when x"19D4" => q <= x"01";
6145
             when x"19D5" => q <= x"C3";
6146
             when x"19D6" => q <= x"D7";
6147
             when x"19D7" => q <= x"18";
6148
             when x"19D8" => q <= x"DD";
6149
             when x"19D9" => q <= x"CB";
6150
             when x"19DA" => q <= x"FA";
6151
             when x"19DB" => q <= x"46";
6152
             when x"19DC" => q <= x"28";
6153
             when x"19DD" => q <= x"19";
6154
             when x"19DE" => q <= x"DD";
6155
             when x"19DF" => q <= x"7E";
6156
             when x"19E0" => q <= x"0A";
6157
             when x"19E1" => q <= x"C6";
6158
             when x"19E2" => q <= x"01";
6159
             when x"19E3" => q <= x"4F";
6160
             when x"19E4" => q <= x"DD";
6161
             when x"19E5" => q <= x"7E";
6162
             when x"19E6" => q <= x"0B";
6163
             when x"19E7" => q <= x"CE";
6164
             when x"19E8" => q <= x"00";
6165
             when x"19E9" => q <= x"5F";
6166
             when x"19EA" => q <= x"DD";
6167
             when x"19EB" => q <= x"71";
6168
             when x"19EC" => q <= x"0A";
6169
             when x"19ED" => q <= x"DD";
6170
             when x"19EE" => q <= x"73";
6171
             when x"19EF" => q <= x"0B";
6172
             when x"19F0" => q <= x"69";
6173
             when x"19F1" => q <= x"63";
6174
             when x"19F2" => q <= x"2B";
6175
             when x"19F3" => q <= x"7E";
6176
             when x"19F4" => q <= x"47";
6177
             when x"19F5" => q <= x"18";
6178
             when x"19F6" => q <= x"15";
6179
             when x"19F7" => q <= x"DD";
6180
             when x"19F8" => q <= x"5E";
6181
             when x"19F9" => q <= x"0A";
6182
             when x"19FA" => q <= x"DD";
6183
             when x"19FB" => q <= x"56";
6184
             when x"19FC" => q <= x"0B";
6185
             when x"19FD" => q <= x"13";
6186
             when x"19FE" => q <= x"13";
6187
             when x"19FF" => q <= x"DD";
6188
             when x"1A00" => q <= x"73";
6189
             when x"1A01" => q <= x"0A";
6190
             when x"1A02" => q <= x"DD";
6191
             when x"1A03" => q <= x"72";
6192
             when x"1A04" => q <= x"0B";
6193
             when x"1A05" => q <= x"6B";
6194
             when x"1A06" => q <= x"62";
6195
             when x"1A07" => q <= x"2B";
6196
             when x"1A08" => q <= x"2B";
6197
             when x"1A09" => q <= x"7E";
6198
             when x"1A0A" => q <= x"23";
6199
             when x"1A0B" => q <= x"47";
6200
             when x"1A0C" => q <= x"DD";
6201
             when x"1A0D" => q <= x"6E";
6202
             when x"1A0E" => q <= x"06";
6203
             when x"1A0F" => q <= x"DD";
6204
             when x"1A10" => q <= x"66";
6205
             when x"1A11" => q <= x"07";
6206
             when x"1A12" => q <= x"E5";
6207
             when x"1A13" => q <= x"C5";
6208
             when x"1A14" => q <= x"33";
6209
             when x"1A15" => q <= x"21";
6210
             when x"1A16" => q <= x"20";
6211
             when x"1A17" => q <= x"1A";
6212
             when x"1A18" => q <= x"E5";
6213
             when x"1A19" => q <= x"DD";
6214
             when x"1A1A" => q <= x"6E";
6215
             when x"1A1B" => q <= x"04";
6216
             when x"1A1C" => q <= x"DD";
6217
             when x"1A1D" => q <= x"66";
6218
             when x"1A1E" => q <= x"05";
6219
             when x"1A1F" => q <= x"E9";
6220
             when x"1A20" => q <= x"F1";
6221
             when x"1A21" => q <= x"33";
6222
             when x"1A22" => q <= x"DD";
6223
             when x"1A23" => q <= x"34";
6224
             when x"1A24" => q <= x"E0";
6225
             when x"1A25" => q <= x"C2";
6226
             when x"1A26" => q <= x"9F";
6227
             when x"1A27" => q <= x"1C";
6228
             when x"1A28" => q <= x"DD";
6229
             when x"1A29" => q <= x"34";
6230
             when x"1A2A" => q <= x"E1";
6231
             when x"1A2B" => q <= x"C3";
6232
             when x"1A2C" => q <= x"9F";
6233
             when x"1A2D" => q <= x"1C";
6234
             when x"1A2E" => q <= x"21";
6235
             when x"1A2F" => q <= x"21";
6236
             when x"1A30" => q <= x"00";
6237
             when x"1A31" => q <= x"39";
6238
             when x"1A32" => q <= x"DD";
6239
             when x"1A33" => q <= x"75";
6240
             when x"1A34" => q <= x"DE";
6241
             when x"1A35" => q <= x"DD";
6242
             when x"1A36" => q <= x"74";
6243
             when x"1A37" => q <= x"DF";
6244
             when x"1A38" => q <= x"DD";
6245
             when x"1A39" => q <= x"7E";
6246
             when x"1A3A" => q <= x"0A";
6247
             when x"1A3B" => q <= x"C6";
6248
             when x"1A3C" => q <= x"02";
6249
             when x"1A3D" => q <= x"4F";
6250
             when x"1A3E" => q <= x"DD";
6251
             when x"1A3F" => q <= x"7E";
6252
             when x"1A40" => q <= x"0B";
6253
             when x"1A41" => q <= x"CE";
6254
             when x"1A42" => q <= x"00";
6255
             when x"1A43" => q <= x"5F";
6256
             when x"1A44" => q <= x"DD";
6257
             when x"1A45" => q <= x"71";
6258
             when x"1A46" => q <= x"0A";
6259
             when x"1A47" => q <= x"DD";
6260
             when x"1A48" => q <= x"73";
6261
             when x"1A49" => q <= x"0B";
6262
             when x"1A4A" => q <= x"69";
6263
             when x"1A4B" => q <= x"63";
6264
             when x"1A4C" => q <= x"2B";
6265
             when x"1A4D" => q <= x"2B";
6266
             when x"1A4E" => q <= x"5E";
6267
             when x"1A4F" => q <= x"23";
6268
             when x"1A50" => q <= x"56";
6269
             when x"1A51" => q <= x"DD";
6270
             when x"1A52" => q <= x"6E";
6271
             when x"1A53" => q <= x"DE";
6272
             when x"1A54" => q <= x"DD";
6273
             when x"1A55" => q <= x"66";
6274
             when x"1A56" => q <= x"DF";
6275
             when x"1A57" => q <= x"73";
6276
             when x"1A58" => q <= x"23";
6277
             when x"1A59" => q <= x"72";
6278
             when x"1A5A" => q <= x"D5";
6279
             when x"1A5B" => q <= x"CD";
6280
             when x"1A5C" => q <= x"43";
6281
             when x"1A5D" => q <= x"22";
6282
             when x"1A5E" => q <= x"F1";
6283
             when x"1A5F" => q <= x"DD";
6284
             when x"1A60" => q <= x"75";
6285
             when x"1A61" => q <= x"DB";
6286
             when x"1A62" => q <= x"DD";
6287
             when x"1A63" => q <= x"7E";
6288
             when x"1A64" => q <= x"EF";
6289
             when x"1A65" => q <= x"3C";
6290
             when x"1A66" => q <= x"20";
6291
             when x"1A67" => q <= x"06";
6292
             when x"1A68" => q <= x"DD";
6293
             when x"1A69" => q <= x"7E";
6294
             when x"1A6A" => q <= x"DB";
6295
             when x"1A6B" => q <= x"DD";
6296
             when x"1A6C" => q <= x"77";
6297
             when x"1A6D" => q <= x"EF";
6298
             when x"1A6E" => q <= x"DD";
6299
             when x"1A6F" => q <= x"CB";
6300
             when x"1A70" => q <= x"FF";
6301
             when x"1A71" => q <= x"46";
6302
             when x"1A72" => q <= x"20";
6303
             when x"1A73" => q <= x"51";
6304
             when x"1A74" => q <= x"DD";
6305
             when x"1A75" => q <= x"7E";
6306
             when x"1A76" => q <= x"DB";
6307
             when x"1A77" => q <= x"DD";
6308
             when x"1A78" => q <= x"96";
6309
             when x"1A79" => q <= x"F0";
6310
             when x"1A7A" => q <= x"30";
6311
             when x"1A7B" => q <= x"49";
6312
             when x"1A7C" => q <= x"DD";
6313
             when x"1A7D" => q <= x"7E";
6314
             when x"1A7E" => q <= x"F0";
6315
             when x"1A7F" => q <= x"DD";
6316
             when x"1A80" => q <= x"96";
6317
             when x"1A81" => q <= x"DB";
6318
             when x"1A82" => q <= x"DD";
6319
             when x"1A83" => q <= x"77";
6320
             when x"1A84" => q <= x"F0";
6321
             when x"1A85" => q <= x"DD";
6322
             when x"1A86" => q <= x"5E";
6323
             when x"1A87" => q <= x"E0";
6324
             when x"1A88" => q <= x"DD";
6325
             when x"1A89" => q <= x"56";
6326
             when x"1A8A" => q <= x"E1";
6327
             when x"1A8B" => q <= x"DD";
6328
             when x"1A8C" => q <= x"4E";
6329
             when x"1A8D" => q <= x"F0";
6330
             when x"1A8E" => q <= x"69";
6331
             when x"1A8F" => q <= x"0D";
6332
             when x"1A90" => q <= x"DD";
6333
             when x"1A91" => q <= x"71";
6334
             when x"1A92" => q <= x"F0";
6335
             when x"1A93" => q <= x"AF";
6336
             when x"1A94" => q <= x"B5";
6337
             when x"1A95" => q <= x"28";
6338
             when x"1A96" => q <= x"25";
6339
             when x"1A97" => q <= x"C5";
6340
             when x"1A98" => q <= x"D5";
6341
             when x"1A99" => q <= x"DD";
6342
             when x"1A9A" => q <= x"6E";
6343
             when x"1A9B" => q <= x"06";
6344
             when x"1A9C" => q <= x"DD";
6345
             when x"1A9D" => q <= x"66";
6346
             when x"1A9E" => q <= x"07";
6347
             when x"1A9F" => q <= x"E5";
6348
             when x"1AA0" => q <= x"3E";
6349
             when x"1AA1" => q <= x"20";
6350
             when x"1AA2" => q <= x"F5";
6351
             when x"1AA3" => q <= x"33";
6352
             when x"1AA4" => q <= x"21";
6353
             when x"1AA5" => q <= x"AF";
6354
             when x"1AA6" => q <= x"1A";
6355
             when x"1AA7" => q <= x"E5";
6356
             when x"1AA8" => q <= x"DD";
6357
             when x"1AA9" => q <= x"6E";
6358
             when x"1AAA" => q <= x"04";
6359
             when x"1AAB" => q <= x"DD";
6360
             when x"1AAC" => q <= x"66";
6361
             when x"1AAD" => q <= x"05";
6362
             when x"1AAE" => q <= x"E9";
6363
             when x"1AAF" => q <= x"F1";
6364
             when x"1AB0" => q <= x"33";
6365
             when x"1AB1" => q <= x"D1";
6366
             when x"1AB2" => q <= x"C1";
6367
             when x"1AB3" => q <= x"13";
6368
             when x"1AB4" => q <= x"DD";
6369
             when x"1AB5" => q <= x"73";
6370
             when x"1AB6" => q <= x"E0";
6371
             when x"1AB7" => q <= x"DD";
6372
             when x"1AB8" => q <= x"72";
6373
             when x"1AB9" => q <= x"E1";
6374
             when x"1ABA" => q <= x"18";
6375
             when x"1ABB" => q <= x"D2";
6376
             when x"1ABC" => q <= x"DD";
6377
             when x"1ABD" => q <= x"73";
6378
             when x"1ABE" => q <= x"E0";
6379
             when x"1ABF" => q <= x"DD";
6380
             when x"1AC0" => q <= x"72";
6381
             when x"1AC1" => q <= x"E1";
6382
             when x"1AC2" => q <= x"DD";
6383
             when x"1AC3" => q <= x"71";
6384
             when x"1AC4" => q <= x"F0";
6385
             when x"1AC5" => q <= x"DD";
6386
             when x"1AC6" => q <= x"56";
6387
             when x"1AC7" => q <= x"EF";
6388
             when x"1AC8" => q <= x"DD";
6389
             when x"1AC9" => q <= x"7E";
6390
             when x"1ACA" => q <= x"E0";
6391
             when x"1ACB" => q <= x"DD";
6392
             when x"1ACC" => q <= x"77";
6393
             when x"1ACD" => q <= x"DE";
6394
             when x"1ACE" => q <= x"DD";
6395
             when x"1ACF" => q <= x"7E";
6396
             when x"1AD0" => q <= x"E1";
6397
             when x"1AD1" => q <= x"DD";
6398
             when x"1AD2" => q <= x"77";
6399
             when x"1AD3" => q <= x"DF";
6400
             when x"1AD4" => q <= x"DD";
6401
             when x"1AD5" => q <= x"6E";
6402
             when x"1AD6" => q <= x"DC";
6403
             when x"1AD7" => q <= x"DD";
6404
             when x"1AD8" => q <= x"66";
6405
             when x"1AD9" => q <= x"DD";
6406
             when x"1ADA" => q <= x"7E";
6407
             when x"1ADB" => q <= x"23";
6408
             when x"1ADC" => q <= x"66";
6409
             when x"1ADD" => q <= x"6F";
6410
             when x"1ADE" => q <= x"6E";
6411
             when x"1ADF" => q <= x"45";
6412
             when x"1AE0" => q <= x"AF";
6413
             when x"1AE1" => q <= x"B5";
6414
             when x"1AE2" => q <= x"28";
6415
             when x"1AE3" => q <= x"58";
6416
             when x"1AE4" => q <= x"6A";
6417
             when x"1AE5" => q <= x"15";
6418
             when x"1AE6" => q <= x"3E";
6419
             when x"1AE7" => q <= x"00";
6420
             when x"1AE8" => q <= x"95";
6421
             when x"1AE9" => q <= x"E2";
6422
             when x"1AEA" => q <= x"EE";
6423
             when x"1AEB" => q <= x"1A";
6424
             when x"1AEC" => q <= x"EE";
6425
             when x"1AED" => q <= x"80";
6426
             when x"1AEE" => q <= x"F2";
6427
             when x"1AEF" => q <= x"3C";
6428
             when x"1AF0" => q <= x"1B";
6429
             when x"1AF1" => q <= x"D5";
6430
             when x"1AF2" => q <= x"DD";
6431
             when x"1AF3" => q <= x"6E";
6432
             when x"1AF4" => q <= x"06";
6433
             when x"1AF5" => q <= x"DD";
6434
             when x"1AF6" => q <= x"66";
6435
             when x"1AF7" => q <= x"07";
6436
             when x"1AF8" => q <= x"E5";
6437
             when x"1AF9" => q <= x"C5";
6438
             when x"1AFA" => q <= x"33";
6439
             when x"1AFB" => q <= x"21";
6440
             when x"1AFC" => q <= x"06";
6441
             when x"1AFD" => q <= x"1B";
6442
             when x"1AFE" => q <= x"E5";
6443
             when x"1AFF" => q <= x"DD";
6444
             when x"1B00" => q <= x"6E";
6445
             when x"1B01" => q <= x"04";
6446
             when x"1B02" => q <= x"DD";
6447
             when x"1B03" => q <= x"66";
6448
             when x"1B04" => q <= x"05";
6449
             when x"1B05" => q <= x"E9";
6450
             when x"1B06" => q <= x"F1";
6451
             when x"1B07" => q <= x"33";
6452
             when x"1B08" => q <= x"D1";
6453
             when x"1B09" => q <= x"DD";
6454
             when x"1B0A" => q <= x"34";
6455
             when x"1B0B" => q <= x"DE";
6456
             when x"1B0C" => q <= x"20";
6457
             when x"1B0D" => q <= x"03";
6458
             when x"1B0E" => q <= x"DD";
6459
             when x"1B0F" => q <= x"34";
6460
             when x"1B10" => q <= x"DF";
6461
             when x"1B11" => q <= x"DD";
6462
             when x"1B12" => q <= x"7E";
6463
             when x"1B13" => q <= x"DE";
6464
             when x"1B14" => q <= x"DD";
6465
             when x"1B15" => q <= x"77";
6466
             when x"1B16" => q <= x"E0";
6467
             when x"1B17" => q <= x"DD";
6468
             when x"1B18" => q <= x"7E";
6469
             when x"1B19" => q <= x"DF";
6470
             when x"1B1A" => q <= x"DD";
6471
             when x"1B1B" => q <= x"77";
6472
             when x"1B1C" => q <= x"E1";
6473
             when x"1B1D" => q <= x"21";
6474
             when x"1B1E" => q <= x"21";
6475
             when x"1B1F" => q <= x"00";
6476
             when x"1B20" => q <= x"39";
6477
             when x"1B21" => q <= x"DD";
6478
             when x"1B22" => q <= x"75";
6479
             when x"1B23" => q <= x"D9";
6480
             when x"1B24" => q <= x"DD";
6481
             when x"1B25" => q <= x"74";
6482
             when x"1B26" => q <= x"DA";
6483
             when x"1B27" => q <= x"7E";
6484
             when x"1B28" => q <= x"23";
6485
             when x"1B29" => q <= x"66";
6486
             when x"1B2A" => q <= x"C6";
6487
             when x"1B2B" => q <= x"01";
6488
             when x"1B2C" => q <= x"5F";
6489
             when x"1B2D" => q <= x"7C";
6490
             when x"1B2E" => q <= x"CE";
6491
             when x"1B2F" => q <= x"00";
6492
             when x"1B30" => q <= x"4F";
6493
             when x"1B31" => q <= x"DD";
6494
             when x"1B32" => q <= x"6E";
6495
             when x"1B33" => q <= x"D9";
6496
             when x"1B34" => q <= x"DD";
6497
             when x"1B35" => q <= x"66";
6498
             when x"1B36" => q <= x"DA";
6499
             when x"1B37" => q <= x"73";
6500
             when x"1B38" => q <= x"23";
6501
             when x"1B39" => q <= x"71";
6502
             when x"1B3A" => q <= x"18";
6503
             when x"1B3B" => q <= x"98";
6504
             when x"1B3C" => q <= x"DD";
6505
             when x"1B3D" => q <= x"7E";
6506
             when x"1B3E" => q <= x"DE";
6507
             when x"1B3F" => q <= x"DD";
6508
             when x"1B40" => q <= x"77";
6509
             when x"1B41" => q <= x"E0";
6510
             when x"1B42" => q <= x"DD";
6511
             when x"1B43" => q <= x"7E";
6512
             when x"1B44" => q <= x"DF";
6513
             when x"1B45" => q <= x"DD";
6514
             when x"1B46" => q <= x"77";
6515
             when x"1B47" => q <= x"E1";
6516
             when x"1B48" => q <= x"DD";
6517
             when x"1B49" => q <= x"CB";
6518
             when x"1B4A" => q <= x"FF";
6519
             when x"1B4B" => q <= x"46";
6520
             when x"1B4C" => q <= x"CA";
6521
             when x"1B4D" => q <= x"9F";
6522
             when x"1B4E" => q <= x"1C";
6523
             when x"1B4F" => q <= x"DD";
6524
             when x"1B50" => q <= x"7E";
6525
             when x"1B51" => q <= x"DB";
6526
             when x"1B52" => q <= x"DD";
6527
             when x"1B53" => q <= x"96";
6528
             when x"1B54" => q <= x"F0";
6529
             when x"1B55" => q <= x"D2";
6530
             when x"1B56" => q <= x"9F";
6531
             when x"1B57" => q <= x"1C";
6532
             when x"1B58" => q <= x"DD";
6533
             when x"1B59" => q <= x"7E";
6534
             when x"1B5A" => q <= x"F0";
6535
             when x"1B5B" => q <= x"DD";
6536
             when x"1B5C" => q <= x"96";
6537
             when x"1B5D" => q <= x"DB";
6538
             when x"1B5E" => q <= x"DD";
6539
             when x"1B5F" => q <= x"77";
6540
             when x"1B60" => q <= x"F0";
6541
             when x"1B61" => q <= x"DD";
6542
             when x"1B62" => q <= x"5E";
6543
             when x"1B63" => q <= x"DE";
6544
             when x"1B64" => q <= x"DD";
6545
             when x"1B65" => q <= x"56";
6546
             when x"1B66" => q <= x"DF";
6547
             when x"1B67" => q <= x"DD";
6548
             when x"1B68" => q <= x"4E";
6549
             when x"1B69" => q <= x"F0";
6550
             when x"1B6A" => q <= x"69";
6551
             when x"1B6B" => q <= x"0D";
6552
             when x"1B6C" => q <= x"DD";
6553
             when x"1B6D" => q <= x"71";
6554
             when x"1B6E" => q <= x"F0";
6555
             when x"1B6F" => q <= x"AF";
6556
             when x"1B70" => q <= x"B5";
6557
             when x"1B71" => q <= x"CA";
6558
             when x"1B72" => q <= x"96";
6559
             when x"1B73" => q <= x"1C";
6560
             when x"1B74" => q <= x"C5";
6561
             when x"1B75" => q <= x"D5";
6562
             when x"1B76" => q <= x"DD";
6563
             when x"1B77" => q <= x"6E";
6564
             when x"1B78" => q <= x"06";
6565
             when x"1B79" => q <= x"DD";
6566
             when x"1B7A" => q <= x"66";
6567
             when x"1B7B" => q <= x"07";
6568
             when x"1B7C" => q <= x"E5";
6569
             when x"1B7D" => q <= x"3E";
6570
             when x"1B7E" => q <= x"20";
6571
             when x"1B7F" => q <= x"F5";
6572
             when x"1B80" => q <= x"33";
6573
             when x"1B81" => q <= x"21";
6574
             when x"1B82" => q <= x"8C";
6575
             when x"1B83" => q <= x"1B";
6576
             when x"1B84" => q <= x"E5";
6577
             when x"1B85" => q <= x"DD";
6578
             when x"1B86" => q <= x"6E";
6579
             when x"1B87" => q <= x"04";
6580
             when x"1B88" => q <= x"DD";
6581
             when x"1B89" => q <= x"66";
6582
             when x"1B8A" => q <= x"05";
6583
             when x"1B8B" => q <= x"E9";
6584
             when x"1B8C" => q <= x"F1";
6585
             when x"1B8D" => q <= x"33";
6586
             when x"1B8E" => q <= x"D1";
6587
             when x"1B8F" => q <= x"C1";
6588
             when x"1B90" => q <= x"13";
6589
             when x"1B91" => q <= x"DD";
6590
             when x"1B92" => q <= x"73";
6591
             when x"1B93" => q <= x"E0";
6592
             when x"1B94" => q <= x"DD";
6593
             when x"1B95" => q <= x"72";
6594
             when x"1B96" => q <= x"E1";
6595
             when x"1B97" => q <= x"18";
6596
             when x"1B98" => q <= x"D1";
6597
             when x"1B99" => q <= x"21";
6598
             when x"1B9A" => q <= x"21";
6599
             when x"1B9B" => q <= x"00";
6600
             when x"1B9C" => q <= x"39";
6601
             when x"1B9D" => q <= x"4D";
6602
             when x"1B9E" => q <= x"44";
6603
             when x"1B9F" => q <= x"DD";
6604
             when x"1BA0" => q <= x"5E";
6605
             when x"1BA1" => q <= x"0A";
6606
             when x"1BA2" => q <= x"DD";
6607
             when x"1BA3" => q <= x"56";
6608
             when x"1BA4" => q <= x"0B";
6609
             when x"1BA5" => q <= x"13";
6610
             when x"1BA6" => q <= x"13";
6611
             when x"1BA7" => q <= x"DD";
6612
             when x"1BA8" => q <= x"73";
6613
             when x"1BA9" => q <= x"0A";
6614
             when x"1BAA" => q <= x"DD";
6615
             when x"1BAB" => q <= x"72";
6616
             when x"1BAC" => q <= x"0B";
6617
             when x"1BAD" => q <= x"EB";
6618
             when x"1BAE" => q <= x"2B";
6619
             when x"1BAF" => q <= x"2B";
6620
             when x"1BB0" => q <= x"5E";
6621
             when x"1BB1" => q <= x"23";
6622
             when x"1BB2" => q <= x"56";
6623
             when x"1BB3" => q <= x"69";
6624
             when x"1BB4" => q <= x"60";
6625
             when x"1BB5" => q <= x"73";
6626
             when x"1BB6" => q <= x"23";
6627
             when x"1BB7" => q <= x"72";
6628
             when x"1BB8" => q <= x"DD";
6629
             when x"1BB9" => q <= x"6E";
6630
             when x"1BBA" => q <= x"06";
6631
             when x"1BBB" => q <= x"DD";
6632
             when x"1BBC" => q <= x"66";
6633
             when x"1BBD" => q <= x"07";
6634
             when x"1BBE" => q <= x"E5";
6635
             when x"1BBF" => q <= x"3E";
6636
             when x"1BC0" => q <= x"30";
6637
             when x"1BC1" => q <= x"F5";
6638
             when x"1BC2" => q <= x"33";
6639
             when x"1BC3" => q <= x"21";
6640
             when x"1BC4" => q <= x"CE";
6641
             when x"1BC5" => q <= x"1B";
6642
             when x"1BC6" => q <= x"E5";
6643
             when x"1BC7" => q <= x"DD";
6644
             when x"1BC8" => q <= x"6E";
6645
             when x"1BC9" => q <= x"04";
6646
             when x"1BCA" => q <= x"DD";
6647
             when x"1BCB" => q <= x"66";
6648
             when x"1BCC" => q <= x"05";
6649
             when x"1BCD" => q <= x"E9";
6650
             when x"1BCE" => q <= x"F1";
6651
             when x"1BCF" => q <= x"33";
6652
             when x"1BD0" => q <= x"DD";
6653
             when x"1BD1" => q <= x"34";
6654
             when x"1BD2" => q <= x"E0";
6655
             when x"1BD3" => q <= x"20";
6656
             when x"1BD4" => q <= x"03";
6657
             when x"1BD5" => q <= x"DD";
6658
             when x"1BD6" => q <= x"34";
6659
             when x"1BD7" => q <= x"E1";
6660
             when x"1BD8" => q <= x"DD";
6661
             when x"1BD9" => q <= x"6E";
6662
             when x"1BDA" => q <= x"06";
6663
             when x"1BDB" => q <= x"DD";
6664
             when x"1BDC" => q <= x"66";
6665
             when x"1BDD" => q <= x"07";
6666
             when x"1BDE" => q <= x"E5";
6667
             when x"1BDF" => q <= x"3E";
6668
             when x"1BE0" => q <= x"78";
6669
             when x"1BE1" => q <= x"F5";
6670
             when x"1BE2" => q <= x"33";
6671
             when x"1BE3" => q <= x"21";
6672
             when x"1BE4" => q <= x"EE";
6673
             when x"1BE5" => q <= x"1B";
6674
             when x"1BE6" => q <= x"E5";
6675
             when x"1BE7" => q <= x"DD";
6676
             when x"1BE8" => q <= x"6E";
6677
             when x"1BE9" => q <= x"04";
6678
             when x"1BEA" => q <= x"DD";
6679
             when x"1BEB" => q <= x"66";
6680
             when x"1BEC" => q <= x"05";
6681
             when x"1BED" => q <= x"E9";
6682
             when x"1BEE" => q <= x"F1";
6683
             when x"1BEF" => q <= x"33";
6684
             when x"1BF0" => q <= x"DD";
6685
             when x"1BF1" => q <= x"34";
6686
             when x"1BF2" => q <= x"E0";
6687
             when x"1BF3" => q <= x"20";
6688
             when x"1BF4" => q <= x"03";
6689
             when x"1BF5" => q <= x"DD";
6690
             when x"1BF6" => q <= x"34";
6691
             when x"1BF7" => q <= x"E1";
6692
             when x"1BF8" => q <= x"21";
6693
             when x"1BF9" => q <= x"22";
6694
             when x"1BFA" => q <= x"00";
6695
             when x"1BFB" => q <= x"39";
6696
             when x"1BFC" => q <= x"4E";
6697
             when x"1BFD" => q <= x"DD";
6698
             when x"1BFE" => q <= x"6E";
6699
             when x"1BFF" => q <= x"06";
6700
             when x"1C00" => q <= x"DD";
6701
             when x"1C01" => q <= x"66";
6702
             when x"1C02" => q <= x"07";
6703
             when x"1C03" => q <= x"E5";
6704
             when x"1C04" => q <= x"DD";
6705
             when x"1C05" => q <= x"6E";
6706
             when x"1C06" => q <= x"04";
6707
             when x"1C07" => q <= x"DD";
6708
             when x"1C08" => q <= x"66";
6709
             when x"1C09" => q <= x"05";
6710
             when x"1C0A" => q <= x"E5";
6711
             when x"1C0B" => q <= x"DD";
6712
             when x"1C0C" => q <= x"46";
6713
             when x"1C0D" => q <= x"F7";
6714
             when x"1C0E" => q <= x"C5";
6715
             when x"1C0F" => q <= x"CD";
6716
             when x"1C10" => q <= x"3E";
6717
             when x"1C11" => q <= x"17";
6718
             when x"1C12" => q <= x"F1";
6719
             when x"1C13" => q <= x"F1";
6720
             when x"1C14" => q <= x"F1";
6721
             when x"1C15" => q <= x"DD";
6722
             when x"1C16" => q <= x"7E";
6723
             when x"1C17" => q <= x"E0";
6724
             when x"1C18" => q <= x"C6";
6725
             when x"1C19" => q <= x"02";
6726
             when x"1C1A" => q <= x"DD";
6727
             when x"1C1B" => q <= x"77";
6728
             when x"1C1C" => q <= x"E0";
6729
             when x"1C1D" => q <= x"DD";
6730
             when x"1C1E" => q <= x"7E";
6731
             when x"1C1F" => q <= x"E1";
6732
             when x"1C20" => q <= x"CE";
6733
             when x"1C21" => q <= x"00";
6734
             when x"1C22" => q <= x"DD";
6735
             when x"1C23" => q <= x"77";
6736
             when x"1C24" => q <= x"E1";
6737
             when x"1C25" => q <= x"21";
6738
             when x"1C26" => q <= x"21";
6739
             when x"1C27" => q <= x"00";
6740
             when x"1C28" => q <= x"39";
6741
             when x"1C29" => q <= x"4E";
6742
             when x"1C2A" => q <= x"DD";
6743
             when x"1C2B" => q <= x"6E";
6744
             when x"1C2C" => q <= x"06";
6745
             when x"1C2D" => q <= x"DD";
6746
             when x"1C2E" => q <= x"66";
6747
             when x"1C2F" => q <= x"07";
6748
             when x"1C30" => q <= x"E5";
6749
             when x"1C31" => q <= x"DD";
6750
             when x"1C32" => q <= x"6E";
6751
             when x"1C33" => q <= x"04";
6752
             when x"1C34" => q <= x"DD";
6753
             when x"1C35" => q <= x"66";
6754
             when x"1C36" => q <= x"05";
6755
             when x"1C37" => q <= x"E5";
6756
             when x"1C38" => q <= x"DD";
6757
             when x"1C39" => q <= x"46";
6758
             when x"1C3A" => q <= x"F7";
6759
             when x"1C3B" => q <= x"C5";
6760
             when x"1C3C" => q <= x"CD";
6761
             when x"1C3D" => q <= x"3E";
6762
             when x"1C3E" => q <= x"17";
6763
             when x"1C3F" => q <= x"F1";
6764
             when x"1C40" => q <= x"F1";
6765
             when x"1C41" => q <= x"F1";
6766
             when x"1C42" => q <= x"DD";
6767
             when x"1C43" => q <= x"7E";
6768
             when x"1C44" => q <= x"E0";
6769
             when x"1C45" => q <= x"C6";
6770
             when x"1C46" => q <= x"02";
6771
             when x"1C47" => q <= x"DD";
6772
             when x"1C48" => q <= x"77";
6773
             when x"1C49" => q <= x"E0";
6774
             when x"1C4A" => q <= x"DD";
6775
             when x"1C4B" => q <= x"7E";
6776
             when x"1C4C" => q <= x"E1";
6777
             when x"1C4D" => q <= x"CE";
6778
             when x"1C4E" => q <= x"00";
6779
             when x"1C4F" => q <= x"DD";
6780
             when x"1C50" => q <= x"77";
6781
             when x"1C51" => q <= x"E1";
6782
             when x"1C52" => q <= x"18";
6783
             when x"1C53" => q <= x"4B";
6784
             when x"1C54" => q <= x"DD";
6785
             when x"1C55" => q <= x"36";
6786
             when x"1C56" => q <= x"FB";
6787
             when x"1C57" => q <= x"01";
6788
             when x"1C58" => q <= x"DD";
6789
             when x"1C59" => q <= x"36";
6790
             when x"1C5A" => q <= x"F1";
6791
             when x"1C5B" => q <= x"0A";
6792
             when x"1C5C" => q <= x"18";
6793
             when x"1C5D" => q <= x"41";
6794
             when x"1C5E" => q <= x"DD";
6795
             when x"1C5F" => q <= x"36";
6796
             when x"1C60" => q <= x"F1";
6797
             when x"1C61" => q <= x"08";
6798
             when x"1C62" => q <= x"18";
6799
             when x"1C63" => q <= x"3B";
6800
             when x"1C64" => q <= x"DD";
6801
             when x"1C65" => q <= x"36";
6802
             when x"1C66" => q <= x"F1";
6803
             when x"1C67" => q <= x"0A";
6804
             when x"1C68" => q <= x"18";
6805
             when x"1C69" => q <= x"35";
6806
             when x"1C6A" => q <= x"DD";
6807
             when x"1C6B" => q <= x"36";
6808
             when x"1C6C" => q <= x"F1";
6809
             when x"1C6D" => q <= x"10";
6810
             when x"1C6E" => q <= x"18";
6811
             when x"1C6F" => q <= x"2F";
6812
             when x"1C70" => q <= x"DD";
6813
             when x"1C71" => q <= x"36";
6814
             when x"1C72" => q <= x"F8";
6815
             when x"1C73" => q <= x"01";
6816
             when x"1C74" => q <= x"18";
6817
             when x"1C75" => q <= x"29";
6818
             when x"1C76" => q <= x"DD";
6819
             when x"1C77" => q <= x"6E";
6820
             when x"1C78" => q <= x"06";
6821
             when x"1C79" => q <= x"DD";
6822
             when x"1C7A" => q <= x"66";
6823
             when x"1C7B" => q <= x"07";
6824
             when x"1C7C" => q <= x"E5";
6825
             when x"1C7D" => q <= x"C5";
6826
             when x"1C7E" => q <= x"33";
6827
             when x"1C7F" => q <= x"21";
6828
             when x"1C80" => q <= x"8A";
6829
             when x"1C81" => q <= x"1C";
6830
             when x"1C82" => q <= x"E5";
6831
             when x"1C83" => q <= x"DD";
6832
             when x"1C84" => q <= x"6E";
6833
             when x"1C85" => q <= x"04";
6834
             when x"1C86" => q <= x"DD";
6835
             when x"1C87" => q <= x"66";
6836
             when x"1C88" => q <= x"05";
6837
             when x"1C89" => q <= x"E9";
6838
             when x"1C8A" => q <= x"F1";
6839
             when x"1C8B" => q <= x"33";
6840
             when x"1C8C" => q <= x"DD";
6841
             when x"1C8D" => q <= x"34";
6842
             when x"1C8E" => q <= x"E0";
6843
             when x"1C8F" => q <= x"20";
6844
             when x"1C90" => q <= x"0E";
6845
             when x"1C91" => q <= x"DD";
6846
             when x"1C92" => q <= x"34";
6847
             when x"1C93" => q <= x"E1";
6848
             when x"1C94" => q <= x"18";
6849
             when x"1C95" => q <= x"09";
6850
             when x"1C96" => q <= x"DD";
6851
             when x"1C97" => q <= x"73";
6852
             when x"1C98" => q <= x"E0";
6853
             when x"1C99" => q <= x"DD";
6854
             when x"1C9A" => q <= x"72";
6855
             when x"1C9B" => q <= x"E1";
6856
             when x"1C9C" => q <= x"DD";
6857
             when x"1C9D" => q <= x"71";
6858
             when x"1C9E" => q <= x"F0";
6859
             when x"1C9F" => q <= x"DD";
6860
             when x"1CA0" => q <= x"CB";
6861
             when x"1CA1" => q <= x"F8";
6862
             when x"1CA2" => q <= x"46";
6863
             when x"1CA3" => q <= x"CA";
6864
             when x"1CA4" => q <= x"5C";
6865
             when x"1CA5" => q <= x"1D";
6866
             when x"1CA6" => q <= x"21";
6867
             when x"1CA7" => q <= x"21";
6868
             when x"1CA8" => q <= x"00";
6869
             when x"1CA9" => q <= x"39";
6870
             when x"1CAA" => q <= x"45";
6871
             when x"1CAB" => q <= x"54";
6872
             when x"1CAC" => q <= x"DD";
6873
             when x"1CAD" => q <= x"7E";
6874
             when x"1CAE" => q <= x"0A";
6875
             when x"1CAF" => q <= x"C6";
6876
             when x"1CB0" => q <= x"04";
6877
             when x"1CB1" => q <= x"4F";
6878
             when x"1CB2" => q <= x"DD";
6879
             when x"1CB3" => q <= x"7E";
6880
             when x"1CB4" => q <= x"0B";
6881
             when x"1CB5" => q <= x"CE";
6882
             when x"1CB6" => q <= x"00";
6883
             when x"1CB7" => q <= x"5F";
6884
             when x"1CB8" => q <= x"DD";
6885
             when x"1CB9" => q <= x"71";
6886
             when x"1CBA" => q <= x"0A";
6887
             when x"1CBB" => q <= x"DD";
6888
             when x"1CBC" => q <= x"73";
6889
             when x"1CBD" => q <= x"0B";
6890
             when x"1CBE" => q <= x"79";
6891
             when x"1CBF" => q <= x"C6";
6892
             when x"1CC0" => q <= x"FC";
6893
             when x"1CC1" => q <= x"6F";
6894
             when x"1CC2" => q <= x"7B";
6895
             when x"1CC3" => q <= x"CE";
6896
             when x"1CC4" => q <= x"FF";
6897
             when x"1CC5" => q <= x"67";
6898
             when x"1CC6" => q <= x"7E";
6899
             when x"1CC7" => q <= x"DD";
6900
             when x"1CC8" => q <= x"77";
6901
             when x"1CC9" => q <= x"D5";
6902
             when x"1CCA" => q <= x"23";
6903
             when x"1CCB" => q <= x"7E";
6904
             when x"1CCC" => q <= x"DD";
6905
             when x"1CCD" => q <= x"77";
6906
             when x"1CCE" => q <= x"D6";
6907
             when x"1CCF" => q <= x"23";
6908
             when x"1CD0" => q <= x"7E";
6909
             when x"1CD1" => q <= x"DD";
6910
             when x"1CD2" => q <= x"77";
6911
             when x"1CD3" => q <= x"D7";
6912
             when x"1CD4" => q <= x"23";
6913
             when x"1CD5" => q <= x"7E";
6914
             when x"1CD6" => q <= x"DD";
6915
             when x"1CD7" => q <= x"77";
6916
             when x"1CD8" => q <= x"D8";
6917
             when x"1CD9" => q <= x"68";
6918
             when x"1CDA" => q <= x"62";
6919
             when x"1CDB" => q <= x"DD";
6920
             when x"1CDC" => q <= x"7E";
6921
             when x"1CDD" => q <= x"D5";
6922
             when x"1CDE" => q <= x"77";
6923
             when x"1CDF" => q <= x"23";
6924
             when x"1CE0" => q <= x"DD";
6925
             when x"1CE1" => q <= x"7E";
6926
             when x"1CE2" => q <= x"D6";
6927
             when x"1CE3" => q <= x"77";
6928
             when x"1CE4" => q <= x"23";
6929
             when x"1CE5" => q <= x"DD";
6930
             when x"1CE6" => q <= x"7E";
6931
             when x"1CE7" => q <= x"D7";
6932
             when x"1CE8" => q <= x"77";
6933
             when x"1CE9" => q <= x"23";
6934
             when x"1CEA" => q <= x"DD";
6935
             when x"1CEB" => q <= x"7E";
6936
             when x"1CEC" => q <= x"D8";
6937
             when x"1CED" => q <= x"77";
6938
             when x"1CEE" => q <= x"21";
6939
             when x"1CEF" => q <= x"21";
6940
             when x"1CF0" => q <= x"00";
6941
             when x"1CF1" => q <= x"39";
6942
             when x"1CF2" => q <= x"36";
6943
             when x"1CF3" => q <= x"38";
6944
             when x"1CF4" => q <= x"23";
6945
             when x"1CF5" => q <= x"36";
6946
             when x"1CF6" => q <= x"22";
6947
             when x"1CF7" => q <= x"DD";
6948
             when x"1CF8" => q <= x"7E";
6949
             when x"1CF9" => q <= x"E0";
6950
             when x"1CFA" => q <= x"DD";
6951
             when x"1CFB" => q <= x"77";
6952
             when x"1CFC" => q <= x"D5";
6953
             when x"1CFD" => q <= x"DD";
6954
             when x"1CFE" => q <= x"7E";
6955
             when x"1CFF" => q <= x"E1";
6956
             when x"1D00" => q <= x"DD";
6957
             when x"1D01" => q <= x"77";
6958
             when x"1D02" => q <= x"D6";
6959
             when x"1D03" => q <= x"21";
6960
             when x"1D04" => q <= x"21";
6961
             when x"1D05" => q <= x"00";
6962
             when x"1D06" => q <= x"39";
6963
             when x"1D07" => q <= x"45";
6964
             when x"1D08" => q <= x"54";
6965
             when x"1D09" => q <= x"7E";
6966
             when x"1D0A" => q <= x"DD";
6967
             when x"1D0B" => q <= x"77";
6968
             when x"1D0C" => q <= x"DE";
6969
             when x"1D0D" => q <= x"23";
6970
             when x"1D0E" => q <= x"7E";
6971
             when x"1D0F" => q <= x"DD";
6972
             when x"1D10" => q <= x"77";
6973
             when x"1D11" => q <= x"DF";
6974
             when x"1D12" => q <= x"DD";
6975
             when x"1D13" => q <= x"7E";
6976
             when x"1D14" => q <= x"DE";
6977
             when x"1D15" => q <= x"C6";
6978
             when x"1D16" => q <= x"01";
6979
             when x"1D17" => q <= x"5F";
6980
             when x"1D18" => q <= x"DD";
6981
             when x"1D19" => q <= x"7E";
6982
             when x"1D1A" => q <= x"DF";
6983
             when x"1D1B" => q <= x"CE";
6984
             when x"1D1C" => q <= x"00";
6985
             when x"1D1D" => q <= x"4F";
6986
             when x"1D1E" => q <= x"68";
6987
             when x"1D1F" => q <= x"62";
6988
             when x"1D20" => q <= x"73";
6989
             when x"1D21" => q <= x"23";
6990
             when x"1D22" => q <= x"71";
6991
             when x"1D23" => q <= x"DD";
6992
             when x"1D24" => q <= x"6E";
6993
             when x"1D25" => q <= x"DE";
6994
             when x"1D26" => q <= x"DD";
6995
             when x"1D27" => q <= x"66";
6996
             when x"1D28" => q <= x"DF";
6997
             when x"1D29" => q <= x"6E";
6998
             when x"1D2A" => q <= x"45";
6999
             when x"1D2B" => q <= x"AF";
7000
             when x"1D2C" => q <= x"B5";
7001
             when x"1D2D" => q <= x"CA";
7002
             when x"1D2E" => q <= x"86";
7003
             when x"1D2F" => q <= x"18";
7004
             when x"1D30" => q <= x"DD";
7005
             when x"1D31" => q <= x"6E";
7006
             when x"1D32" => q <= x"06";
7007
             when x"1D33" => q <= x"DD";
7008
             when x"1D34" => q <= x"66";
7009
             when x"1D35" => q <= x"07";
7010
             when x"1D36" => q <= x"E5";
7011
             when x"1D37" => q <= x"C5";
7012
             when x"1D38" => q <= x"33";
7013
             when x"1D39" => q <= x"21";
7014
             when x"1D3A" => q <= x"44";
7015
             when x"1D3B" => q <= x"1D";
7016
             when x"1D3C" => q <= x"E5";
7017
             when x"1D3D" => q <= x"DD";
7018
             when x"1D3E" => q <= x"6E";
7019
             when x"1D3F" => q <= x"04";
7020
             when x"1D40" => q <= x"DD";
7021
             when x"1D41" => q <= x"66";
7022
             when x"1D42" => q <= x"05";
7023
             when x"1D43" => q <= x"E9";
7024
             when x"1D44" => q <= x"F1";
7025
             when x"1D45" => q <= x"33";
7026
             when x"1D46" => q <= x"DD";
7027
             when x"1D47" => q <= x"34";
7028
             when x"1D48" => q <= x"D5";
7029
             when x"1D49" => q <= x"20";
7030
             when x"1D4A" => q <= x"03";
7031
             when x"1D4B" => q <= x"DD";
7032
             when x"1D4C" => q <= x"34";
7033
             when x"1D4D" => q <= x"D6";
7034
             when x"1D4E" => q <= x"DD";
7035
             when x"1D4F" => q <= x"7E";
7036
             when x"1D50" => q <= x"D5";
7037
             when x"1D51" => q <= x"DD";
7038
             when x"1D52" => q <= x"77";
7039
             when x"1D53" => q <= x"E0";
7040
             when x"1D54" => q <= x"DD";
7041
             when x"1D55" => q <= x"7E";
7042
             when x"1D56" => q <= x"D6";
7043
             when x"1D57" => q <= x"DD";
7044
             when x"1D58" => q <= x"77";
7045
             when x"1D59" => q <= x"E1";
7046
             when x"1D5A" => q <= x"18";
7047
             when x"1D5B" => q <= x"A7";
7048
             when x"1D5C" => q <= x"AF";
7049
             when x"1D5D" => q <= x"DD";
7050
             when x"1D5E" => q <= x"B6";
7051
             when x"1D5F" => q <= x"F1";
7052
             when x"1D60" => q <= x"CA";
7053
             when x"1D61" => q <= x"86";
7054
             when x"1D62" => q <= x"18";
7055
             when x"1D63" => q <= x"DD";
7056
             when x"1D64" => q <= x"7E";
7057
             when x"1D65" => q <= x"E4";
7058
             when x"1D66" => q <= x"DD";
7059
             when x"1D67" => q <= x"77";
7060
             when x"1D68" => q <= x"E6";
7061
             when x"1D69" => q <= x"DD";
7062
             when x"1D6A" => q <= x"7E";
7063
             when x"1D6B" => q <= x"E5";
7064
             when x"1D6C" => q <= x"DD";
7065
             when x"1D6D" => q <= x"77";
7066
             when x"1D6E" => q <= x"E7";
7067
             when x"1D6F" => q <= x"DD";
7068
             when x"1D70" => q <= x"CB";
7069
             when x"1D71" => q <= x"FA";
7070
             when x"1D72" => q <= x"46";
7071
             when x"1D73" => q <= x"CA";
7072
             when x"1D74" => q <= x"F6";
7073
             when x"1D75" => q <= x"1D";
7074
             when x"1D76" => q <= x"21";
7075
             when x"1D77" => q <= x"21";
7076
             when x"1D78" => q <= x"00";
7077
             when x"1D79" => q <= x"39";
7078
             when x"1D7A" => q <= x"45";
7079
             when x"1D7B" => q <= x"5C";
7080
             when x"1D7C" => q <= x"DD";
7081
             when x"1D7D" => q <= x"7E";
7082
             when x"1D7E" => q <= x"0A";
7083
             when x"1D7F" => q <= x"C6";
7084
             when x"1D80" => q <= x"01";
7085
             when x"1D81" => q <= x"57";
7086
             when x"1D82" => q <= x"DD";
7087
             when x"1D83" => q <= x"7E";
7088
             when x"1D84" => q <= x"0B";
7089
             when x"1D85" => q <= x"CE";
7090
             when x"1D86" => q <= x"00";
7091
             when x"1D87" => q <= x"4F";
7092
             when x"1D88" => q <= x"DD";
7093
             when x"1D89" => q <= x"72";
7094
             when x"1D8A" => q <= x"0A";
7095
             when x"1D8B" => q <= x"DD";
7096
             when x"1D8C" => q <= x"71";
7097
             when x"1D8D" => q <= x"0B";
7098
             when x"1D8E" => q <= x"6A";
7099
             when x"1D8F" => q <= x"61";
7100
             when x"1D90" => q <= x"2B";
7101
             when x"1D91" => q <= x"6E";
7102
             when x"1D92" => q <= x"DD";
7103
             when x"1D93" => q <= x"75";
7104
             when x"1D94" => q <= x"D1";
7105
             when x"1D95" => q <= x"7D";
7106
             when x"1D96" => q <= x"17";
7107
             when x"1D97" => q <= x"9F";
7108
             when x"1D98" => q <= x"DD";
7109
             when x"1D99" => q <= x"77";
7110
             when x"1D9A" => q <= x"D2";
7111
             when x"1D9B" => q <= x"DD";
7112
             when x"1D9C" => q <= x"77";
7113
             when x"1D9D" => q <= x"D3";
7114
             when x"1D9E" => q <= x"DD";
7115
             when x"1D9F" => q <= x"77";
7116
             when x"1DA0" => q <= x"D4";
7117
             when x"1DA1" => q <= x"68";
7118
             when x"1DA2" => q <= x"63";
7119
             when x"1DA3" => q <= x"DD";
7120
             when x"1DA4" => q <= x"7E";
7121
             when x"1DA5" => q <= x"D1";
7122
             when x"1DA6" => q <= x"77";
7123
             when x"1DA7" => q <= x"23";
7124
             when x"1DA8" => q <= x"DD";
7125
             when x"1DA9" => q <= x"7E";
7126
             when x"1DAA" => q <= x"D2";
7127
             when x"1DAB" => q <= x"77";
7128
             when x"1DAC" => q <= x"23";
7129
             when x"1DAD" => q <= x"DD";
7130
             when x"1DAE" => q <= x"7E";
7131
             when x"1DAF" => q <= x"D3";
7132
             when x"1DB0" => q <= x"77";
7133
             when x"1DB1" => q <= x"23";
7134
             when x"1DB2" => q <= x"DD";
7135
             when x"1DB3" => q <= x"7E";
7136
             when x"1DB4" => q <= x"D4";
7137
             when x"1DB5" => q <= x"77";
7138
             when x"1DB6" => q <= x"DD";
7139
             when x"1DB7" => q <= x"CB";
7140
             when x"1DB8" => q <= x"FB";
7141
             when x"1DB9" => q <= x"46";
7142
             when x"1DBA" => q <= x"C2";
7143
             when x"1DBB" => q <= x"C1";
7144
             when x"1DBC" => q <= x"1E";
7145
             when x"1DBD" => q <= x"68";
7146
             when x"1DBE" => q <= x"63";
7147
             when x"1DBF" => q <= x"7E";
7148
             when x"1DC0" => q <= x"DD";
7149
             when x"1DC1" => q <= x"77";
7150
             when x"1DC2" => q <= x"D1";
7151
             when x"1DC3" => q <= x"23";
7152
             when x"1DC4" => q <= x"7E";
7153
             when x"1DC5" => q <= x"DD";
7154
             when x"1DC6" => q <= x"77";
7155
             when x"1DC7" => q <= x"D2";
7156
             when x"1DC8" => q <= x"23";
7157
             when x"1DC9" => q <= x"7E";
7158
             when x"1DCA" => q <= x"DD";
7159
             when x"1DCB" => q <= x"77";
7160
             when x"1DCC" => q <= x"D3";
7161
             when x"1DCD" => q <= x"23";
7162
             when x"1DCE" => q <= x"7E";
7163
             when x"1DCF" => q <= x"DD";
7164
             when x"1DD0" => q <= x"77";
7165
             when x"1DD1" => q <= x"D4";
7166
             when x"1DD2" => q <= x"DD";
7167
             when x"1DD3" => q <= x"36";
7168
             when x"1DD4" => q <= x"D2";
7169
             when x"1DD5" => q <= x"00";
7170
             when x"1DD6" => q <= x"DD";
7171
             when x"1DD7" => q <= x"36";
7172
             when x"1DD8" => q <= x"D3";
7173
             when x"1DD9" => q <= x"00";
7174
             when x"1DDA" => q <= x"DD";
7175
             when x"1DDB" => q <= x"36";
7176
             when x"1DDC" => q <= x"D4";
7177
             when x"1DDD" => q <= x"00";
7178
             when x"1DDE" => q <= x"68";
7179
             when x"1DDF" => q <= x"63";
7180
             when x"1DE0" => q <= x"DD";
7181
             when x"1DE1" => q <= x"7E";
7182
             when x"1DE2" => q <= x"D1";
7183
             when x"1DE3" => q <= x"77";
7184
             when x"1DE4" => q <= x"23";
7185
             when x"1DE5" => q <= x"DD";
7186
             when x"1DE6" => q <= x"7E";
7187
             when x"1DE7" => q <= x"D2";
7188
             when x"1DE8" => q <= x"77";
7189
             when x"1DE9" => q <= x"23";
7190
             when x"1DEA" => q <= x"DD";
7191
             when x"1DEB" => q <= x"7E";
7192
             when x"1DEC" => q <= x"D3";
7193
             when x"1DED" => q <= x"77";
7194
             when x"1DEE" => q <= x"23";
7195
             when x"1DEF" => q <= x"DD";
7196
             when x"1DF0" => q <= x"7E";
7197
             when x"1DF1" => q <= x"D4";
7198
             when x"1DF2" => q <= x"77";
7199
             when x"1DF3" => q <= x"C3";
7200
             when x"1DF4" => q <= x"C1";
7201
             when x"1DF5" => q <= x"1E";
7202
             when x"1DF6" => q <= x"DD";
7203
             when x"1DF7" => q <= x"CB";
7204
             when x"1DF8" => q <= x"F9";
7205
             when x"1DF9" => q <= x"46";
7206
             when x"1DFA" => q <= x"28";
7207
             when x"1DFB" => q <= x"4A";
7208
             when x"1DFC" => q <= x"21";
7209
             when x"1DFD" => q <= x"21";
7210
             when x"1DFE" => q <= x"00";
7211
             when x"1DFF" => q <= x"39";
7212
             when x"1E00" => q <= x"45";
7213
             when x"1E01" => q <= x"54";
7214
             when x"1E02" => q <= x"DD";
7215
             when x"1E03" => q <= x"7E";
7216
             when x"1E04" => q <= x"0A";
7217
             when x"1E05" => q <= x"C6";
7218
             when x"1E06" => q <= x"04";
7219
             when x"1E07" => q <= x"4F";
7220
             when x"1E08" => q <= x"DD";
7221
             when x"1E09" => q <= x"7E";
7222
             when x"1E0A" => q <= x"0B";
7223
             when x"1E0B" => q <= x"CE";
7224
             when x"1E0C" => q <= x"00";
7225
             when x"1E0D" => q <= x"5F";
7226
             when x"1E0E" => q <= x"DD";
7227
             when x"1E0F" => q <= x"71";
7228
             when x"1E10" => q <= x"0A";
7229
             when x"1E11" => q <= x"DD";
7230
             when x"1E12" => q <= x"73";
7231
             when x"1E13" => q <= x"0B";
7232
             when x"1E14" => q <= x"79";
7233
             when x"1E15" => q <= x"C6";
7234
             when x"1E16" => q <= x"FC";
7235
             when x"1E17" => q <= x"6F";
7236
             when x"1E18" => q <= x"7B";
7237
             when x"1E19" => q <= x"CE";
7238
             when x"1E1A" => q <= x"FF";
7239
             when x"1E1B" => q <= x"67";
7240
             when x"1E1C" => q <= x"7E";
7241
             when x"1E1D" => q <= x"DD";
7242
             when x"1E1E" => q <= x"77";
7243
             when x"1E1F" => q <= x"D5";
7244
             when x"1E20" => q <= x"23";
7245
             when x"1E21" => q <= x"7E";
7246
             when x"1E22" => q <= x"DD";
7247
             when x"1E23" => q <= x"77";
7248
             when x"1E24" => q <= x"D6";
7249
             when x"1E25" => q <= x"23";
7250
             when x"1E26" => q <= x"7E";
7251
             when x"1E27" => q <= x"DD";
7252
             when x"1E28" => q <= x"77";
7253
             when x"1E29" => q <= x"D7";
7254
             when x"1E2A" => q <= x"23";
7255
             when x"1E2B" => q <= x"7E";
7256
             when x"1E2C" => q <= x"DD";
7257
             when x"1E2D" => q <= x"77";
7258
             when x"1E2E" => q <= x"D8";
7259
             when x"1E2F" => q <= x"68";
7260
             when x"1E30" => q <= x"62";
7261
             when x"1E31" => q <= x"DD";
7262
             when x"1E32" => q <= x"7E";
7263
             when x"1E33" => q <= x"D5";
7264
             when x"1E34" => q <= x"77";
7265
             when x"1E35" => q <= x"23";
7266
             when x"1E36" => q <= x"DD";
7267
             when x"1E37" => q <= x"7E";
7268
             when x"1E38" => q <= x"D6";
7269
             when x"1E39" => q <= x"77";
7270
             when x"1E3A" => q <= x"23";
7271
             when x"1E3B" => q <= x"DD";
7272
             when x"1E3C" => q <= x"7E";
7273
             when x"1E3D" => q <= x"D7";
7274
             when x"1E3E" => q <= x"77";
7275
             when x"1E3F" => q <= x"23";
7276
             when x"1E40" => q <= x"DD";
7277
             when x"1E41" => q <= x"7E";
7278
             when x"1E42" => q <= x"D8";
7279
             when x"1E43" => q <= x"77";
7280
             when x"1E44" => q <= x"18";
7281
             when x"1E45" => q <= x"7B";
7282
             when x"1E46" => q <= x"21";
7283
             when x"1E47" => q <= x"21";
7284
             when x"1E48" => q <= x"00";
7285
             when x"1E49" => q <= x"39";
7286
             when x"1E4A" => q <= x"45";
7287
             when x"1E4B" => q <= x"54";
7288
             when x"1E4C" => q <= x"DD";
7289
             when x"1E4D" => q <= x"7E";
7290
             when x"1E4E" => q <= x"0A";
7291
             when x"1E4F" => q <= x"C6";
7292
             when x"1E50" => q <= x"02";
7293
             when x"1E51" => q <= x"4F";
7294
             when x"1E52" => q <= x"DD";
7295
             when x"1E53" => q <= x"7E";
7296
             when x"1E54" => q <= x"0B";
7297
             when x"1E55" => q <= x"CE";
7298
             when x"1E56" => q <= x"00";
7299
             when x"1E57" => q <= x"5F";
7300
             when x"1E58" => q <= x"DD";
7301
             when x"1E59" => q <= x"71";
7302
             when x"1E5A" => q <= x"0A";
7303
             when x"1E5B" => q <= x"DD";
7304
             when x"1E5C" => q <= x"73";
7305
             when x"1E5D" => q <= x"0B";
7306
             when x"1E5E" => q <= x"69";
7307
             when x"1E5F" => q <= x"63";
7308
             when x"1E60" => q <= x"2B";
7309
             when x"1E61" => q <= x"2B";
7310
             when x"1E62" => q <= x"7E";
7311
             when x"1E63" => q <= x"23";
7312
             when x"1E64" => q <= x"66";
7313
             when x"1E65" => q <= x"DD";
7314
             when x"1E66" => q <= x"77";
7315
             when x"1E67" => q <= x"D5";
7316
             when x"1E68" => q <= x"DD";
7317
             when x"1E69" => q <= x"74";
7318
             when x"1E6A" => q <= x"D6";
7319
             when x"1E6B" => q <= x"7C";
7320
             when x"1E6C" => q <= x"17";
7321
             when x"1E6D" => q <= x"9F";
7322
             when x"1E6E" => q <= x"DD";
7323
             when x"1E6F" => q <= x"77";
7324
             when x"1E70" => q <= x"D7";
7325
             when x"1E71" => q <= x"DD";
7326
             when x"1E72" => q <= x"77";
7327
             when x"1E73" => q <= x"D8";
7328
             when x"1E74" => q <= x"68";
7329
             when x"1E75" => q <= x"62";
7330
             when x"1E76" => q <= x"DD";
7331
             when x"1E77" => q <= x"7E";
7332
             when x"1E78" => q <= x"D5";
7333
             when x"1E79" => q <= x"77";
7334
             when x"1E7A" => q <= x"23";
7335
             when x"1E7B" => q <= x"DD";
7336
             when x"1E7C" => q <= x"7E";
7337
             when x"1E7D" => q <= x"D6";
7338
             when x"1E7E" => q <= x"77";
7339
             when x"1E7F" => q <= x"23";
7340
             when x"1E80" => q <= x"DD";
7341
             when x"1E81" => q <= x"7E";
7342
             when x"1E82" => q <= x"D7";
7343
             when x"1E83" => q <= x"77";
7344
             when x"1E84" => q <= x"23";
7345
             when x"1E85" => q <= x"DD";
7346
             when x"1E86" => q <= x"7E";
7347
             when x"1E87" => q <= x"D8";
7348
             when x"1E88" => q <= x"77";
7349
             when x"1E89" => q <= x"DD";
7350
             when x"1E8A" => q <= x"CB";
7351
             when x"1E8B" => q <= x"FB";
7352
             when x"1E8C" => q <= x"46";
7353
             when x"1E8D" => q <= x"20";
7354
             when x"1E8E" => q <= x"32";
7355
             when x"1E8F" => q <= x"68";
7356
             when x"1E90" => q <= x"62";
7357
             when x"1E91" => q <= x"7E";
7358
             when x"1E92" => q <= x"DD";
7359
             when x"1E93" => q <= x"77";
7360
             when x"1E94" => q <= x"D5";
7361
             when x"1E95" => q <= x"23";
7362
             when x"1E96" => q <= x"7E";
7363
             when x"1E97" => q <= x"DD";
7364
             when x"1E98" => q <= x"77";
7365
             when x"1E99" => q <= x"D6";
7366
             when x"1E9A" => q <= x"23";
7367
             when x"1E9B" => q <= x"7E";
7368
             when x"1E9C" => q <= x"DD";
7369
             when x"1E9D" => q <= x"77";
7370
             when x"1E9E" => q <= x"D7";
7371
             when x"1E9F" => q <= x"23";
7372
             when x"1EA0" => q <= x"7E";
7373
             when x"1EA1" => q <= x"DD";
7374
             when x"1EA2" => q <= x"77";
7375
             when x"1EA3" => q <= x"D8";
7376
             when x"1EA4" => q <= x"DD";
7377
             when x"1EA5" => q <= x"36";
7378
             when x"1EA6" => q <= x"D7";
7379
             when x"1EA7" => q <= x"00";
7380
             when x"1EA8" => q <= x"DD";
7381
             when x"1EA9" => q <= x"36";
7382
             when x"1EAA" => q <= x"D8";
7383
             when x"1EAB" => q <= x"00";
7384
             when x"1EAC" => q <= x"68";
7385
             when x"1EAD" => q <= x"62";
7386
             when x"1EAE" => q <= x"DD";
7387
             when x"1EAF" => q <= x"7E";
7388
             when x"1EB0" => q <= x"D5";
7389
             when x"1EB1" => q <= x"77";
7390
             when x"1EB2" => q <= x"23";
7391
             when x"1EB3" => q <= x"DD";
7392
             when x"1EB4" => q <= x"7E";
7393
             when x"1EB5" => q <= x"D6";
7394
             when x"1EB6" => q <= x"77";
7395
             when x"1EB7" => q <= x"23";
7396
             when x"1EB8" => q <= x"DD";
7397
             when x"1EB9" => q <= x"7E";
7398
             when x"1EBA" => q <= x"D7";
7399
             when x"1EBB" => q <= x"77";
7400
             when x"1EBC" => q <= x"23";
7401
             when x"1EBD" => q <= x"DD";
7402
             when x"1EBE" => q <= x"7E";
7403
             when x"1EBF" => q <= x"D8";
7404
             when x"1EC0" => q <= x"77";
7405
             when x"1EC1" => q <= x"DD";
7406
             when x"1EC2" => q <= x"CB";
7407
             when x"1EC3" => q <= x"FB";
7408
             when x"1EC4" => q <= x"46";
7409
             when x"1EC5" => q <= x"28";
7410
             when x"1EC6" => q <= x"2E";
7411
             when x"1EC7" => q <= x"21";
7412
             when x"1EC8" => q <= x"21";
7413
             when x"1EC9" => q <= x"00";
7414
             when x"1ECA" => q <= x"39";
7415
             when x"1ECB" => q <= x"4E";
7416
             when x"1ECC" => q <= x"23";
7417
             when x"1ECD" => q <= x"46";
7418
             when x"1ECE" => q <= x"23";
7419
             when x"1ECF" => q <= x"5E";
7420
             when x"1ED0" => q <= x"23";
7421
             when x"1ED1" => q <= x"56";
7422
             when x"1ED2" => q <= x"2B";
7423
             when x"1ED3" => q <= x"2B";
7424
             when x"1ED4" => q <= x"2B";
7425
             when x"1ED5" => q <= x"CB";
7426
             when x"1ED6" => q <= x"7A";
7427
             when x"1ED7" => q <= x"28";
7428
             when x"1ED8" => q <= x"18";
7429
             when x"1ED9" => q <= x"AF";
7430
             when x"1EDA" => q <= x"99";
7431
             when x"1EDB" => q <= x"4F";
7432
             when x"1EDC" => q <= x"3E";
7433
             when x"1EDD" => q <= x"00";
7434
             when x"1EDE" => q <= x"98";
7435
             when x"1EDF" => q <= x"47";
7436
             when x"1EE0" => q <= x"3E";
7437
             when x"1EE1" => q <= x"00";
7438
             when x"1EE2" => q <= x"9B";
7439
             when x"1EE3" => q <= x"5F";
7440
             when x"1EE4" => q <= x"3E";
7441
             when x"1EE5" => q <= x"00";
7442
             when x"1EE6" => q <= x"9A";
7443
             when x"1EE7" => q <= x"57";
7444
             when x"1EE8" => q <= x"71";
7445
             when x"1EE9" => q <= x"23";
7446
             when x"1EEA" => q <= x"70";
7447
             when x"1EEB" => q <= x"23";
7448
             when x"1EEC" => q <= x"73";
7449
             when x"1EED" => q <= x"23";
7450
             when x"1EEE" => q <= x"72";
7451
             when x"1EEF" => q <= x"18";
7452
             when x"1EF0" => q <= x"04";
7453
             when x"1EF1" => q <= x"DD";
7454
             when x"1EF2" => q <= x"36";
7455
             when x"1EF3" => q <= x"FB";
7456
             when x"1EF4" => q <= x"00";
7457
             when x"1EF5" => q <= x"06";
7458
             when x"1EF6" => q <= x"01";
7459
             when x"1EF7" => q <= x"DD";
7460
             when x"1EF8" => q <= x"7E";
7461
             when x"1EF9" => q <= x"E6";
7462
             when x"1EFA" => q <= x"DD";
7463
             when x"1EFB" => q <= x"77";
7464
             when x"1EFC" => q <= x"D1";
7465
             when x"1EFD" => q <= x"DD";
7466
             when x"1EFE" => q <= x"7E";
7467
             when x"1EFF" => q <= x"E7";
7468
             when x"1F00" => q <= x"DD";
7469
             when x"1F01" => q <= x"77";
7470
             when x"1F02" => q <= x"D2";
7471
             when x"1F03" => q <= x"DD";
7472
             when x"1F04" => q <= x"36";
7473
             when x"1F05" => q <= x"EE";
7474
             when x"1F06" => q <= x"00";
7475
             when x"1F07" => q <= x"21";
7476
             when x"1F08" => q <= x"21";
7477
             when x"1F09" => q <= x"00";
7478
             when x"1F0A" => q <= x"39";
7479
             when x"1F0B" => q <= x"7D";
7480
             when x"1F0C" => q <= x"5C";
7481
             when x"1F0D" => q <= x"C6";
7482
             when x"1F0E" => q <= x"04";
7483
             when x"1F0F" => q <= x"4F";
7484
             when x"1F10" => q <= x"7B";
7485
             when x"1F11" => q <= x"CE";
7486
             when x"1F12" => q <= x"00";
7487
             when x"1F13" => q <= x"67";
7488
             when x"1F14" => q <= x"69";
7489
             when x"1F15" => q <= x"36";
7490
             when x"1F16" => q <= x"00";
7491
             when x"1F17" => q <= x"21";
7492
             when x"1F18" => q <= x"21";
7493
             when x"1F19" => q <= x"00";
7494
             when x"1F1A" => q <= x"39";
7495
             when x"1F1B" => q <= x"EB";
7496
             when x"1F1C" => q <= x"C5";
7497
             when x"1F1D" => q <= x"DD";
7498
             when x"1F1E" => q <= x"7E";
7499
             when x"1F1F" => q <= x"F1";
7500
             when x"1F20" => q <= x"F5";
7501
             when x"1F21" => q <= x"33";
7502
             when x"1F22" => q <= x"D5";
7503
             when x"1F23" => q <= x"CD";
7504
             when x"1F24" => q <= x"8A";
7505
             when x"1F25" => q <= x"17";
7506
             when x"1F26" => q <= x"F1";
7507
             when x"1F27" => q <= x"33";
7508
             when x"1F28" => q <= x"C1";
7509
             when x"1F29" => q <= x"CB";
7510
             when x"1F2A" => q <= x"40";
7511
             when x"1F2B" => q <= x"20";
7512
             when x"1F2C" => q <= x"45";
7513
             when x"1F2D" => q <= x"21";
7514
             when x"1F2E" => q <= x"25";
7515
             when x"1F2F" => q <= x"00";
7516
             when x"1F30" => q <= x"39";
7517
             when x"1F31" => q <= x"EB";
7518
             when x"1F32" => q <= x"1A";
7519
             when x"1F33" => q <= x"6F";
7520
             when x"1F34" => q <= x"07";
7521
             when x"1F35" => q <= x"07";
7522
             when x"1F36" => q <= x"07";
7523
             when x"1F37" => q <= x"07";
7524
             when x"1F38" => q <= x"E6";
7525
             when x"1F39" => q <= x"F0";
7526
             when x"1F3A" => q <= x"4F";
7527
             when x"1F3B" => q <= x"7D";
7528
             when x"1F3C" => q <= x"CB";
7529
             when x"1F3D" => q <= x"3F";
7530
             when x"1F3E" => q <= x"CB";
7531
             when x"1F3F" => q <= x"3F";
7532
             when x"1F40" => q <= x"CB";
7533
             when x"1F41" => q <= x"3F";
7534
             when x"1F42" => q <= x"CB";
7535
             when x"1F43" => q <= x"3F";
7536
             when x"1F44" => q <= x"6F";
7537
             when x"1F45" => q <= x"79";
7538
             when x"1F46" => q <= x"B5";
7539
             when x"1F47" => q <= x"4F";
7540
             when x"1F48" => q <= x"DD";
7541
             when x"1F49" => q <= x"6E";
7542
             when x"1F4A" => q <= x"D1";
7543
             when x"1F4B" => q <= x"DD";
7544
             when x"1F4C" => q <= x"66";
7545
             when x"1F4D" => q <= x"D2";
7546
             when x"1F4E" => q <= x"7E";
7547
             when x"1F4F" => q <= x"B1";
7548
             when x"1F50" => q <= x"DD";
7549
             when x"1F51" => q <= x"6E";
7550
             when x"1F52" => q <= x"D1";
7551
             when x"1F53" => q <= x"DD";
7552
             when x"1F54" => q <= x"66";
7553
             when x"1F55" => q <= x"D2";
7554
             when x"1F56" => q <= x"77";
7555
             when x"1F57" => q <= x"DD";
7556
             when x"1F58" => q <= x"6E";
7557
             when x"1F59" => q <= x"D1";
7558
             when x"1F5A" => q <= x"DD";
7559
             when x"1F5B" => q <= x"66";
7560
             when x"1F5C" => q <= x"D2";
7561
             when x"1F5D" => q <= x"2B";
7562
             when x"1F5E" => q <= x"DD";
7563
             when x"1F5F" => q <= x"75";
7564
             when x"1F60" => q <= x"D1";
7565
             when x"1F61" => q <= x"DD";
7566
             when x"1F62" => q <= x"74";
7567
             when x"1F63" => q <= x"D2";
7568
             when x"1F64" => q <= x"DD";
7569
             when x"1F65" => q <= x"7E";
7570
             when x"1F66" => q <= x"D1";
7571
             when x"1F67" => q <= x"DD";
7572
             when x"1F68" => q <= x"77";
7573
             when x"1F69" => q <= x"E6";
7574
             when x"1F6A" => q <= x"DD";
7575
             when x"1F6B" => q <= x"7E";
7576
             when x"1F6C" => q <= x"D2";
7577
             when x"1F6D" => q <= x"DD";
7578
             when x"1F6E" => q <= x"77";
7579
             when x"1F6F" => q <= x"E7";
7580
             when x"1F70" => q <= x"18";
7581
             when x"1F71" => q <= x"0D";
7582
             when x"1F72" => q <= x"21";
7583
             when x"1F73" => q <= x"25";
7584
             when x"1F74" => q <= x"00";
7585
             when x"1F75" => q <= x"39";
7586
             when x"1F76" => q <= x"EB";
7587
             when x"1F77" => q <= x"1A";
7588
             when x"1F78" => q <= x"DD";
7589
             when x"1F79" => q <= x"6E";
7590
             when x"1F7A" => q <= x"D1";
7591
             when x"1F7B" => q <= x"DD";
7592
             when x"1F7C" => q <= x"66";
7593
             when x"1F7D" => q <= x"D2";
7594
             when x"1F7E" => q <= x"77";
7595
             when x"1F7F" => q <= x"DD";
7596
             when x"1F80" => q <= x"34";
7597
             when x"1F81" => q <= x"EE";
7598
             when x"1F82" => q <= x"DD";
7599
             when x"1F83" => q <= x"7E";
7600
             when x"1F84" => q <= x"EE";
7601
             when x"1F85" => q <= x"DD";
7602
             when x"1F86" => q <= x"77";
7603
             when x"1F87" => q <= x"DB";
7604
             when x"1F88" => q <= x"78";
7605
             when x"1F89" => q <= x"EE";
7606
             when x"1F8A" => q <= x"01";
7607
             when x"1F8B" => q <= x"47";
7608
             when x"1F8C" => q <= x"DD";
7609
             when x"1F8D" => q <= x"6E";
7610
             when x"1F8E" => q <= x"E2";
7611
             when x"1F8F" => q <= x"DD";
7612
             when x"1F90" => q <= x"66";
7613
             when x"1F91" => q <= x"E3";
7614
             when x"1F92" => q <= x"7E";
7615
             when x"1F93" => q <= x"DD";
7616
             when x"1F94" => q <= x"77";
7617
             when x"1F95" => q <= x"D5";
7618
             when x"1F96" => q <= x"23";
7619
             when x"1F97" => q <= x"7E";
7620
             when x"1F98" => q <= x"DD";
7621
             when x"1F99" => q <= x"77";
7622
             when x"1F9A" => q <= x"D6";
7623
             when x"1F9B" => q <= x"23";
7624
             when x"1F9C" => q <= x"7E";
7625
             when x"1F9D" => q <= x"DD";
7626
             when x"1F9E" => q <= x"77";
7627
             when x"1F9F" => q <= x"D7";
7628
             when x"1FA0" => q <= x"23";
7629
             when x"1FA1" => q <= x"7E";
7630
             when x"1FA2" => q <= x"DD";
7631
             when x"1FA3" => q <= x"77";
7632
             when x"1FA4" => q <= x"D8";
7633
             when x"1FA5" => q <= x"DD";
7634
             when x"1FA6" => q <= x"7E";
7635
             when x"1FA7" => q <= x"D5";
7636
             when x"1FA8" => q <= x"DD";
7637
             when x"1FA9" => q <= x"B6";
7638
             when x"1FAA" => q <= x"D6";
7639
             when x"1FAB" => q <= x"DD";
7640
             when x"1FAC" => q <= x"B6";
7641
             when x"1FAD" => q <= x"D7";
7642
             when x"1FAE" => q <= x"DD";
7643
             when x"1FAF" => q <= x"B6";
7644
             when x"1FB0" => q <= x"D8";
7645
             when x"1FB1" => q <= x"C2";
7646
             when x"1FB2" => q <= x"07";
7647
             when x"1FB3" => q <= x"1F";
7648
             when x"1FB4" => q <= x"DD";
7649
             when x"1FB5" => q <= x"7E";
7650
             when x"1FB6" => q <= x"D1";
7651
             when x"1FB7" => q <= x"DD";
7652
             when x"1FB8" => q <= x"77";
7653
             when x"1FB9" => q <= x"E6";
7654
             when x"1FBA" => q <= x"DD";
7655
             when x"1FBB" => q <= x"7E";
7656
             when x"1FBC" => q <= x"D2";
7657
             when x"1FBD" => q <= x"DD";
7658
             when x"1FBE" => q <= x"77";
7659
             when x"1FBF" => q <= x"E7";
7660
             when x"1FC0" => q <= x"DD";
7661
             when x"1FC1" => q <= x"7E";
7662
             when x"1FC2" => q <= x"EE";
7663
             when x"1FC3" => q <= x"DD";
7664
             when x"1FC4" => q <= x"77";
7665
             when x"1FC5" => q <= x"DB";
7666
             when x"1FC6" => q <= x"AF";
7667
             when x"1FC7" => q <= x"DD";
7668
             when x"1FC8" => q <= x"B6";
7669
             when x"1FC9" => q <= x"F0";
7670
             when x"1FCA" => q <= x"20";
7671
             when x"1FCB" => q <= x"04";
7672
             when x"1FCC" => q <= x"DD";
7673
             when x"1FCD" => q <= x"36";
7674
             when x"1FCE" => q <= x"F0";
7675
             when x"1FCF" => q <= x"01";
7676
             when x"1FD0" => q <= x"DD";
7677
             when x"1FD1" => q <= x"CB";
7678
             when x"1FD2" => q <= x"FE";
7679
             when x"1FD3" => q <= x"46";
7680
             when x"1FD4" => q <= x"20";
7681
             when x"1FD5" => q <= x"50";
7682
             when x"1FD6" => q <= x"DD";
7683
             when x"1FD7" => q <= x"CB";
7684
             when x"1FD8" => q <= x"FF";
7685
             when x"1FD9" => q <= x"46";
7686
             when x"1FDA" => q <= x"20";
7687
             when x"1FDB" => q <= x"4A";
7688
             when x"1FDC" => q <= x"DD";
7689
             when x"1FDD" => q <= x"4E";
7690
             when x"1FDE" => q <= x"DB";
7691
             when x"1FDF" => q <= x"0C";
7692
             when x"1FE0" => q <= x"DD";
7693
             when x"1FE1" => q <= x"5E";
7694
             when x"1FE2" => q <= x"E0";
7695
             when x"1FE3" => q <= x"DD";
7696
             when x"1FE4" => q <= x"56";
7697
             when x"1FE5" => q <= x"E1";
7698
             when x"1FE6" => q <= x"DD";
7699
             when x"1FE7" => q <= x"7E";
7700
             when x"1FE8" => q <= x"F0";
7701
             when x"1FE9" => q <= x"DD";
7702
             when x"1FEA" => q <= x"77";
7703
             when x"1FEB" => q <= x"D1";
7704
             when x"1FEC" => q <= x"79";
7705
             when x"1FED" => q <= x"DD";
7706
             when x"1FEE" => q <= x"96";
7707
             when x"1FEF" => q <= x"D1";
7708
             when x"1FF0" => q <= x"30";
7709
             when x"1FF1" => q <= x"28";
7710
             when x"1FF2" => q <= x"C5";
7711
             when x"1FF3" => q <= x"D5";
7712
             when x"1FF4" => q <= x"DD";
7713
             when x"1FF5" => q <= x"6E";
7714
             when x"1FF6" => q <= x"06";
7715
             when x"1FF7" => q <= x"DD";
7716
             when x"1FF8" => q <= x"66";
7717
             when x"1FF9" => q <= x"07";
7718
             when x"1FFA" => q <= x"E5";
7719
             when x"1FFB" => q <= x"3E";
7720
             when x"1FFC" => q <= x"20";
7721
             when x"1FFD" => q <= x"F5";
7722
             when x"1FFE" => q <= x"33";
7723
             when x"1FFF" => q <= x"21";
7724
             when x"2000" => q <= x"0A";
7725
             when x"2001" => q <= x"20";
7726
             when x"2002" => q <= x"E5";
7727
             when x"2003" => q <= x"DD";
7728
             when x"2004" => q <= x"6E";
7729
             when x"2005" => q <= x"04";
7730
             when x"2006" => q <= x"DD";
7731
             when x"2007" => q <= x"66";
7732
             when x"2008" => q <= x"05";
7733
             when x"2009" => q <= x"E9";
7734
             when x"200A" => q <= x"F1";
7735
             when x"200B" => q <= x"33";
7736
             when x"200C" => q <= x"D1";
7737
             when x"200D" => q <= x"C1";
7738
             when x"200E" => q <= x"13";
7739
             when x"200F" => q <= x"DD";
7740
             when x"2010" => q <= x"73";
7741
             when x"2011" => q <= x"E0";
7742
             when x"2012" => q <= x"DD";
7743
             when x"2013" => q <= x"72";
7744
             when x"2014" => q <= x"E1";
7745
             when x"2015" => q <= x"DD";
7746
             when x"2016" => q <= x"35";
7747
             when x"2017" => q <= x"D1";
7748
             when x"2018" => q <= x"18";
7749
             when x"2019" => q <= x"D2";
7750
             when x"201A" => q <= x"DD";
7751
             when x"201B" => q <= x"73";
7752
             when x"201C" => q <= x"E0";
7753
             when x"201D" => q <= x"DD";
7754
             when x"201E" => q <= x"72";
7755
             when x"201F" => q <= x"E1";
7756
             when x"2020" => q <= x"DD";
7757
             when x"2021" => q <= x"7E";
7758
             when x"2022" => q <= x"D1";
7759
             when x"2023" => q <= x"DD";
7760
             when x"2024" => q <= x"77";
7761
             when x"2025" => q <= x"F0";
7762
             when x"2026" => q <= x"DD";
7763
             when x"2027" => q <= x"CB";
7764
             when x"2028" => q <= x"FB";
7765
             when x"2029" => q <= x"46";
7766
             when x"202A" => q <= x"28";
7767
             when x"202B" => q <= x"27";
7768
             when x"202C" => q <= x"C5";
7769
             when x"202D" => q <= x"DD";
7770
             when x"202E" => q <= x"6E";
7771
             when x"202F" => q <= x"06";
7772
             when x"2030" => q <= x"DD";
7773
             when x"2031" => q <= x"66";
7774
             when x"2032" => q <= x"07";
7775
             when x"2033" => q <= x"E5";
7776
             when x"2034" => q <= x"3E";
7777
             when x"2035" => q <= x"2D";
7778
             when x"2036" => q <= x"F5";
7779
             when x"2037" => q <= x"33";
7780
             when x"2038" => q <= x"21";
7781
             when x"2039" => q <= x"43";
7782
             when x"203A" => q <= x"20";
7783
             when x"203B" => q <= x"E5";
7784
             when x"203C" => q <= x"DD";
7785
             when x"203D" => q <= x"6E";
7786
             when x"203E" => q <= x"04";
7787
             when x"203F" => q <= x"DD";
7788
             when x"2040" => q <= x"66";
7789
             when x"2041" => q <= x"05";
7790
             when x"2042" => q <= x"E9";
7791
             when x"2043" => q <= x"F1";
7792
             when x"2044" => q <= x"33";
7793
             when x"2045" => q <= x"C1";
7794
             when x"2046" => q <= x"DD";
7795
             when x"2047" => q <= x"34";
7796
             when x"2048" => q <= x"E0";
7797
             when x"2049" => q <= x"20";
7798
             when x"204A" => q <= x"03";
7799
             when x"204B" => q <= x"DD";
7800
             when x"204C" => q <= x"34";
7801
             when x"204D" => q <= x"E1";
7802
             when x"204E" => q <= x"DD";
7803
             when x"204F" => q <= x"35";
7804
             when x"2050" => q <= x"F0";
7805
             when x"2051" => q <= x"18";
7806
             when x"2052" => q <= x"5E";
7807
             when x"2053" => q <= x"AF";
7808
             when x"2054" => q <= x"DD";
7809
             when x"2055" => q <= x"B6";
7810
             when x"2056" => q <= x"DB";
7811
             when x"2057" => q <= x"28";
7812
             when x"2058" => q <= x"58";
7813
             when x"2059" => q <= x"DD";
7814
             when x"205A" => q <= x"CB";
7815
             when x"205B" => q <= x"FD";
7816
             when x"205C" => q <= x"46";
7817
             when x"205D" => q <= x"28";
7818
             when x"205E" => q <= x"27";
7819
             when x"205F" => q <= x"C5";
7820
             when x"2060" => q <= x"DD";
7821
             when x"2061" => q <= x"6E";
7822
             when x"2062" => q <= x"06";
7823
             when x"2063" => q <= x"DD";
7824
             when x"2064" => q <= x"66";
7825
             when x"2065" => q <= x"07";
7826
             when x"2066" => q <= x"E5";
7827
             when x"2067" => q <= x"3E";
7828
             when x"2068" => q <= x"2B";
7829
             when x"2069" => q <= x"F5";
7830
             when x"206A" => q <= x"33";
7831
             when x"206B" => q <= x"21";
7832
             when x"206C" => q <= x"76";
7833
             when x"206D" => q <= x"20";
7834
             when x"206E" => q <= x"E5";
7835
             when x"206F" => q <= x"DD";
7836
             when x"2070" => q <= x"6E";
7837
             when x"2071" => q <= x"04";
7838
             when x"2072" => q <= x"DD";
7839
             when x"2073" => q <= x"66";
7840
             when x"2074" => q <= x"05";
7841
             when x"2075" => q <= x"E9";
7842
             when x"2076" => q <= x"F1";
7843
             when x"2077" => q <= x"33";
7844
             when x"2078" => q <= x"C1";
7845
             when x"2079" => q <= x"DD";
7846
             when x"207A" => q <= x"34";
7847
             when x"207B" => q <= x"E0";
7848
             when x"207C" => q <= x"20";
7849
             when x"207D" => q <= x"03";
7850
             when x"207E" => q <= x"DD";
7851
             when x"207F" => q <= x"34";
7852
             when x"2080" => q <= x"E1";
7853
             when x"2081" => q <= x"DD";
7854
             when x"2082" => q <= x"35";
7855
             when x"2083" => q <= x"F0";
7856
             when x"2084" => q <= x"18";
7857
             when x"2085" => q <= x"2B";
7858
             when x"2086" => q <= x"DD";
7859
             when x"2087" => q <= x"CB";
7860
             when x"2088" => q <= x"FC";
7861
             when x"2089" => q <= x"46";
7862
             when x"208A" => q <= x"28";
7863
             when x"208B" => q <= x"25";
7864
             when x"208C" => q <= x"C5";
7865
             when x"208D" => q <= x"DD";
7866
             when x"208E" => q <= x"6E";
7867
             when x"208F" => q <= x"06";
7868
             when x"2090" => q <= x"DD";
7869
             when x"2091" => q <= x"66";
7870
             when x"2092" => q <= x"07";
7871
             when x"2093" => q <= x"E5";
7872
             when x"2094" => q <= x"3E";
7873
             when x"2095" => q <= x"20";
7874
             when x"2096" => q <= x"F5";
7875
             when x"2097" => q <= x"33";
7876
             when x"2098" => q <= x"21";
7877
             when x"2099" => q <= x"A3";
7878
             when x"209A" => q <= x"20";
7879
             when x"209B" => q <= x"E5";
7880
             when x"209C" => q <= x"DD";
7881
             when x"209D" => q <= x"6E";
7882
             when x"209E" => q <= x"04";
7883
             when x"209F" => q <= x"DD";
7884
             when x"20A0" => q <= x"66";
7885
             when x"20A1" => q <= x"05";
7886
             when x"20A2" => q <= x"E9";
7887
             when x"20A3" => q <= x"F1";
7888
             when x"20A4" => q <= x"33";
7889
             when x"20A5" => q <= x"C1";
7890
             when x"20A6" => q <= x"DD";
7891
             when x"20A7" => q <= x"34";
7892
             when x"20A8" => q <= x"E0";
7893
             when x"20A9" => q <= x"20";
7894
             when x"20AA" => q <= x"03";
7895
             when x"20AB" => q <= x"DD";
7896
             when x"20AC" => q <= x"34";
7897
             when x"20AD" => q <= x"E1";
7898
             when x"20AE" => q <= x"DD";
7899
             when x"20AF" => q <= x"35";
7900
             when x"20B0" => q <= x"F0";
7901
             when x"20B1" => q <= x"DD";
7902
             when x"20B2" => q <= x"CB";
7903
             when x"20B3" => q <= x"FF";
7904
             when x"20B4" => q <= x"46";
7905
             when x"20B5" => q <= x"20";
7906
             when x"20B6" => q <= x"4A";
7907
             when x"20B7" => q <= x"DD";
7908
             when x"20B8" => q <= x"5E";
7909
             when x"20B9" => q <= x"E0";
7910
             when x"20BA" => q <= x"DD";
7911
             when x"20BB" => q <= x"56";
7912
             when x"20BC" => q <= x"E1";
7913
             when x"20BD" => q <= x"DD";
7914
             when x"20BE" => q <= x"4E";
7915
             when x"20BF" => q <= x"F0";
7916
             when x"20C0" => q <= x"69";
7917
             when x"20C1" => q <= x"0D";
7918
             when x"20C2" => q <= x"DD";
7919
             when x"20C3" => q <= x"71";
7920
             when x"20C4" => q <= x"F0";
7921
             when x"20C5" => q <= x"DD";
7922
             when x"20C6" => q <= x"7E";
7923
             when x"20C7" => q <= x"DB";
7924
             when x"20C8" => q <= x"95";
7925
             when x"20C9" => q <= x"30";
7926
             when x"20CA" => q <= x"4F";
7927
             when x"20CB" => q <= x"DD";
7928
             when x"20CC" => q <= x"CB";
7929
             when x"20CD" => q <= x"FE";
7930
             when x"20CE" => q <= x"46";
7931
             when x"20CF" => q <= x"28";
7932
             when x"20D0" => q <= x"06";
7933
             when x"20D1" => q <= x"DD";
7934
             when x"20D2" => q <= x"36";
7935
             when x"20D3" => q <= x"D1";
7936
             when x"20D4" => q <= x"30";
7937
             when x"20D5" => q <= x"18";
7938
             when x"20D6" => q <= x"04";
7939
             when x"20D7" => q <= x"DD";
7940
             when x"20D8" => q <= x"36";
7941
             when x"20D9" => q <= x"D1";
7942
             when x"20DA" => q <= x"20";
7943
             when x"20DB" => q <= x"C5";
7944
             when x"20DC" => q <= x"D5";
7945
             when x"20DD" => q <= x"DD";
7946
             when x"20DE" => q <= x"6E";
7947
             when x"20DF" => q <= x"06";
7948
             when x"20E0" => q <= x"DD";
7949
             when x"20E1" => q <= x"66";
7950
             when x"20E2" => q <= x"07";
7951
             when x"20E3" => q <= x"E5";
7952
             when x"20E4" => q <= x"DD";
7953
             when x"20E5" => q <= x"7E";
7954
             when x"20E6" => q <= x"D1";
7955
             when x"20E7" => q <= x"F5";
7956
             when x"20E8" => q <= x"33";
7957
             when x"20E9" => q <= x"21";
7958
             when x"20EA" => q <= x"F4";
7959
             when x"20EB" => q <= x"20";
7960
             when x"20EC" => q <= x"E5";
7961
             when x"20ED" => q <= x"DD";
7962
             when x"20EE" => q <= x"6E";
7963
             when x"20EF" => q <= x"04";
7964
             when x"20F0" => q <= x"DD";
7965
             when x"20F1" => q <= x"66";
7966
             when x"20F2" => q <= x"05";
7967
             when x"20F3" => q <= x"E9";
7968
             when x"20F4" => q <= x"F1";
7969
             when x"20F5" => q <= x"33";
7970
             when x"20F6" => q <= x"D1";
7971
             when x"20F7" => q <= x"C1";
7972
             when x"20F8" => q <= x"13";
7973
             when x"20F9" => q <= x"DD";
7974
             when x"20FA" => q <= x"73";
7975
             when x"20FB" => q <= x"E0";
7976
             when x"20FC" => q <= x"DD";
7977
             when x"20FD" => q <= x"72";
7978
             when x"20FE" => q <= x"E1";
7979
             when x"20FF" => q <= x"18";
7980
             when x"2100" => q <= x"BF";
7981
             when x"2101" => q <= x"DD";
7982
             when x"2102" => q <= x"7E";
7983
             when x"2103" => q <= x"DB";
7984
             when x"2104" => q <= x"DD";
7985
             when x"2105" => q <= x"96";
7986
             when x"2106" => q <= x"F0";
7987
             when x"2107" => q <= x"30";
7988
             when x"2108" => q <= x"0B";
7989
             when x"2109" => q <= x"DD";
7990
             when x"210A" => q <= x"7E";
7991
             when x"210B" => q <= x"F0";
7992
             when x"210C" => q <= x"DD";
7993
             when x"210D" => q <= x"96";
7994
             when x"210E" => q <= x"DB";
7995
             when x"210F" => q <= x"DD";
7996
             when x"2110" => q <= x"77";
7997
             when x"2111" => q <= x"F0";
7998
             when x"2112" => q <= x"18";
7999
             when x"2113" => q <= x"0F";
8000
             when x"2114" => q <= x"DD";
8001
             when x"2115" => q <= x"36";
8002
             when x"2116" => q <= x"F0";
8003
             when x"2117" => q <= x"00";
8004
             when x"2118" => q <= x"18";
8005
             when x"2119" => q <= x"09";
8006
             when x"211A" => q <= x"DD";
8007
             when x"211B" => q <= x"73";
8008
             when x"211C" => q <= x"E0";
8009
             when x"211D" => q <= x"DD";
8010
             when x"211E" => q <= x"72";
8011
             when x"211F" => q <= x"E1";
8012
             when x"2120" => q <= x"DD";
8013
             when x"2121" => q <= x"71";
8014
             when x"2122" => q <= x"F0";
8015
             when x"2123" => q <= x"DD";
8016
             when x"2124" => q <= x"7E";
8017
             when x"2125" => q <= x"E6";
8018
             when x"2126" => q <= x"DD";
8019
             when x"2127" => q <= x"77";
8020
             when x"2128" => q <= x"D9";
8021
             when x"2129" => q <= x"DD";
8022
             when x"212A" => q <= x"7E";
8023
             when x"212B" => q <= x"E7";
8024
             when x"212C" => q <= x"DD";
8025
             when x"212D" => q <= x"77";
8026
             when x"212E" => q <= x"DA";
8027
             when x"212F" => q <= x"DD";
8028
             when x"2130" => q <= x"7E";
8029
             when x"2131" => q <= x"E0";
8030
             when x"2132" => q <= x"DD";
8031
             when x"2133" => q <= x"77";
8032
             when x"2134" => q <= x"D1";
8033
             when x"2135" => q <= x"DD";
8034
             when x"2136" => q <= x"7E";
8035
             when x"2137" => q <= x"E1";
8036
             when x"2138" => q <= x"DD";
8037
             when x"2139" => q <= x"77";
8038
             when x"213A" => q <= x"D2";
8039
             when x"213B" => q <= x"DD";
8040
             when x"213C" => q <= x"7E";
8041
             when x"213D" => q <= x"DB";
8042
             when x"213E" => q <= x"DD";
8043
             when x"213F" => q <= x"77";
8044
             when x"2140" => q <= x"D5";
8045
             when x"2141" => q <= x"DD";
8046
             when x"2142" => q <= x"6E";
8047
             when x"2143" => q <= x"D5";
8048
             when x"2144" => q <= x"DD";
8049
             when x"2145" => q <= x"35";
8050
             when x"2146" => q <= x"D5";
8051
             when x"2147" => q <= x"AF";
8052
             when x"2148" => q <= x"B5";
8053
             when x"2149" => q <= x"28";
8054
             when x"214A" => q <= x"78";
8055
             when x"214B" => q <= x"78";
8056
             when x"214C" => q <= x"EE";
8057
             when x"214D" => q <= x"01";
8058
             when x"214E" => q <= x"47";
8059
             when x"214F" => q <= x"CB";
8060
             when x"2150" => q <= x"40";
8061
             when x"2151" => q <= x"20";
8062
             when x"2152" => q <= x"29";
8063
             when x"2153" => q <= x"DD";
8064
             when x"2154" => q <= x"34";
8065
             when x"2155" => q <= x"D9";
8066
             when x"2156" => q <= x"20";
8067
             when x"2157" => q <= x"03";
8068
             when x"2158" => q <= x"DD";
8069
             when x"2159" => q <= x"34";
8070
             when x"215A" => q <= x"DA";
8071
             when x"215B" => q <= x"21";
8072
             when x"215C" => q <= x"21";
8073
             when x"215D" => q <= x"00";
8074
             when x"215E" => q <= x"39";
8075
             when x"215F" => q <= x"7D";
8076
             when x"2160" => q <= x"5C";
8077
             when x"2161" => q <= x"C6";
8078
             when x"2162" => q <= x"04";
8079
             when x"2163" => q <= x"4F";
8080
             when x"2164" => q <= x"7B";
8081
             when x"2165" => q <= x"CE";
8082
             when x"2166" => q <= x"00";
8083
             when x"2167" => q <= x"5F";
8084
             when x"2168" => q <= x"DD";
8085
             when x"2169" => q <= x"6E";
8086
             when x"216A" => q <= x"D9";
8087
             when x"216B" => q <= x"DD";
8088
             when x"216C" => q <= x"66";
8089
             when x"216D" => q <= x"DA";
8090
             when x"216E" => q <= x"56";
8091
             when x"216F" => q <= x"CB";
8092
             when x"2170" => q <= x"3A";
8093
             when x"2171" => q <= x"CB";
8094
             when x"2172" => q <= x"3A";
8095
             when x"2173" => q <= x"CB";
8096
             when x"2174" => q <= x"3A";
8097
             when x"2175" => q <= x"CB";
8098
             when x"2176" => q <= x"3A";
8099
             when x"2177" => q <= x"69";
8100
             when x"2178" => q <= x"63";
8101
             when x"2179" => q <= x"72";
8102
             when x"217A" => q <= x"18";
8103
             when x"217B" => q <= x"0F";
8104
             when x"217C" => q <= x"21";
8105
             when x"217D" => q <= x"25";
8106
             when x"217E" => q <= x"00";
8107
             when x"217F" => q <= x"39";
8108
             when x"2180" => q <= x"EB";
8109
             when x"2181" => q <= x"DD";
8110
             when x"2182" => q <= x"6E";
8111
             when x"2183" => q <= x"D9";
8112
             when x"2184" => q <= x"DD";
8113
             when x"2185" => q <= x"66";
8114
             when x"2186" => q <= x"DA";
8115
             when x"2187" => q <= x"7E";
8116
             when x"2188" => q <= x"E6";
8117
             when x"2189" => q <= x"0F";
8118
             when x"218A" => q <= x"12";
8119
             when x"218B" => q <= x"21";
8120
             when x"218C" => q <= x"25";
8121
             when x"218D" => q <= x"00";
8122
             when x"218E" => q <= x"39";
8123
             when x"218F" => q <= x"EB";
8124
             when x"2190" => q <= x"1A";
8125
             when x"2191" => q <= x"4F";
8126
             when x"2192" => q <= x"C5";
8127
             when x"2193" => q <= x"DD";
8128
             when x"2194" => q <= x"6E";
8129
             when x"2195" => q <= x"06";
8130
             when x"2196" => q <= x"DD";
8131
             when x"2197" => q <= x"66";
8132
             when x"2198" => q <= x"07";
8133
             when x"2199" => q <= x"E5";
8134
             when x"219A" => q <= x"DD";
8135
             when x"219B" => q <= x"6E";
8136
             when x"219C" => q <= x"04";
8137
             when x"219D" => q <= x"DD";
8138
             when x"219E" => q <= x"66";
8139
             when x"219F" => q <= x"05";
8140
             when x"21A0" => q <= x"E5";
8141
             when x"21A1" => q <= x"DD";
8142
             when x"21A2" => q <= x"46";
8143
             when x"21A3" => q <= x"F7";
8144
             when x"21A4" => q <= x"C5";
8145
             when x"21A5" => q <= x"CD";
8146
             when x"21A6" => q <= x"03";
8147
             when x"21A7" => q <= x"17";
8148
             when x"21A8" => q <= x"F1";
8149
             when x"21A9" => q <= x"F1";
8150
             when x"21AA" => q <= x"F1";
8151
             when x"21AB" => q <= x"C1";
8152
             when x"21AC" => q <= x"DD";
8153
             when x"21AD" => q <= x"34";
8154
             when x"21AE" => q <= x"D1";
8155
             when x"21AF" => q <= x"20";
8156
             when x"21B0" => q <= x"03";
8157
             when x"21B1" => q <= x"DD";
8158
             when x"21B2" => q <= x"34";
8159
             when x"21B3" => q <= x"D2";
8160
             when x"21B4" => q <= x"DD";
8161
             when x"21B5" => q <= x"7E";
8162
             when x"21B6" => q <= x"D1";
8163
             when x"21B7" => q <= x"DD";
8164
             when x"21B8" => q <= x"77";
8165
             when x"21B9" => q <= x"E0";
8166
             when x"21BA" => q <= x"DD";
8167
             when x"21BB" => q <= x"7E";
8168
             when x"21BC" => q <= x"D2";
8169
             when x"21BD" => q <= x"DD";
8170
             when x"21BE" => q <= x"77";
8171
             when x"21BF" => q <= x"E1";
8172
             when x"21C0" => q <= x"C3";
8173
             when x"21C1" => q <= x"41";
8174
             when x"21C2" => q <= x"21";
8175
             when x"21C3" => q <= x"DD";
8176
             when x"21C4" => q <= x"7E";
8177
             when x"21C5" => q <= x"D1";
8178
             when x"21C6" => q <= x"DD";
8179
             when x"21C7" => q <= x"77";
8180
             when x"21C8" => q <= x"E0";
8181
             when x"21C9" => q <= x"DD";
8182
             when x"21CA" => q <= x"7E";
8183
             when x"21CB" => q <= x"D2";
8184
             when x"21CC" => q <= x"DD";
8185
             when x"21CD" => q <= x"77";
8186
             when x"21CE" => q <= x"E1";
8187
             when x"21CF" => q <= x"DD";
8188
             when x"21D0" => q <= x"CB";
8189
             when x"21D1" => q <= x"FF";
8190
             when x"21D2" => q <= x"46";
8191
             when x"21D3" => q <= x"CA";
8192
             when x"21D4" => q <= x"86";
8193
             when x"21D5" => q <= x"18";
8194
             when x"21D6" => q <= x"DD";
8195
             when x"21D7" => q <= x"5E";
8196
             when x"21D8" => q <= x"D1";
8197
             when x"21D9" => q <= x"DD";
8198
             when x"21DA" => q <= x"56";
8199
             when x"21DB" => q <= x"D2";
8200
             when x"21DC" => q <= x"DD";
8201
             when x"21DD" => q <= x"4E";
8202
             when x"21DE" => q <= x"F0";
8203
             when x"21DF" => q <= x"69";
8204
             when x"21E0" => q <= x"0D";
8205
             when x"21E1" => q <= x"AF";
8206
             when x"21E2" => q <= x"B5";
8207
             when x"21E3" => q <= x"CA";
8208
             when x"21E4" => q <= x"86";
8209
             when x"21E5" => q <= x"18";
8210
             when x"21E6" => q <= x"C5";
8211
             when x"21E7" => q <= x"D5";
8212
             when x"21E8" => q <= x"DD";
8213
             when x"21E9" => q <= x"6E";
8214
             when x"21EA" => q <= x"06";
8215
             when x"21EB" => q <= x"DD";
8216
             when x"21EC" => q <= x"66";
8217
             when x"21ED" => q <= x"07";
8218
             when x"21EE" => q <= x"E5";
8219
             when x"21EF" => q <= x"3E";
8220
             when x"21F0" => q <= x"20";
8221
             when x"21F1" => q <= x"F5";
8222
             when x"21F2" => q <= x"33";
8223
             when x"21F3" => q <= x"21";
8224
             when x"21F4" => q <= x"FE";
8225
             when x"21F5" => q <= x"21";
8226
             when x"21F6" => q <= x"E5";
8227
             when x"21F7" => q <= x"DD";
8228
             when x"21F8" => q <= x"6E";
8229
             when x"21F9" => q <= x"04";
8230
             when x"21FA" => q <= x"DD";
8231
             when x"21FB" => q <= x"66";
8232
             when x"21FC" => q <= x"05";
8233
             when x"21FD" => q <= x"E9";
8234
             when x"21FE" => q <= x"F1";
8235
             when x"21FF" => q <= x"33";
8236
             when x"2200" => q <= x"D1";
8237
             when x"2201" => q <= x"C1";
8238
             when x"2202" => q <= x"13";
8239
             when x"2203" => q <= x"DD";
8240
             when x"2204" => q <= x"73";
8241
             when x"2205" => q <= x"E0";
8242
             when x"2206" => q <= x"DD";
8243
             when x"2207" => q <= x"72";
8244
             when x"2208" => q <= x"E1";
8245
             when x"2209" => q <= x"18";
8246
             when x"220A" => q <= x"D4";
8247
             when x"220B" => q <= x"DD";
8248
             when x"220C" => q <= x"6E";
8249
             when x"220D" => q <= x"06";
8250
             when x"220E" => q <= x"DD";
8251
             when x"220F" => q <= x"66";
8252
             when x"2210" => q <= x"07";
8253
             when x"2211" => q <= x"E5";
8254
             when x"2212" => q <= x"C5";
8255
             when x"2213" => q <= x"33";
8256
             when x"2214" => q <= x"21";
8257
             when x"2215" => q <= x"1F";
8258
             when x"2216" => q <= x"22";
8259
             when x"2217" => q <= x"E5";
8260
             when x"2218" => q <= x"DD";
8261
             when x"2219" => q <= x"6E";
8262
             when x"221A" => q <= x"04";
8263
             when x"221B" => q <= x"DD";
8264
             when x"221C" => q <= x"66";
8265
             when x"221D" => q <= x"05";
8266
             when x"221E" => q <= x"E9";
8267
             when x"221F" => q <= x"F1";
8268
             when x"2220" => q <= x"33";
8269
             when x"2221" => q <= x"DD";
8270
             when x"2222" => q <= x"34";
8271
             when x"2223" => q <= x"E0";
8272
             when x"2224" => q <= x"C2";
8273
             when x"2225" => q <= x"86";
8274
             when x"2226" => q <= x"18";
8275
             when x"2227" => q <= x"DD";
8276
             when x"2228" => q <= x"34";
8277
             when x"2229" => q <= x"E1";
8278
             when x"222A" => q <= x"C3";
8279
             when x"222B" => q <= x"86";
8280
             when x"222C" => q <= x"18";
8281
             when x"222D" => q <= x"DD";
8282
             when x"222E" => q <= x"6E";
8283
             when x"222F" => q <= x"E0";
8284
             when x"2230" => q <= x"DD";
8285
             when x"2231" => q <= x"66";
8286
             when x"2232" => q <= x"E1";
8287
             when x"2233" => q <= x"DD";
8288
             when x"2234" => q <= x"F9";
8289
             when x"2235" => q <= x"DD";
8290
             when x"2236" => q <= x"E1";
8291
             when x"2237" => q <= x"C9";
8292
             when x"2238" => q <= x"3C";
8293
             when x"2239" => q <= x"4E";
8294
             when x"223A" => q <= x"4F";
8295
             when x"223B" => q <= x"20";
8296
             when x"223C" => q <= x"46";
8297
             when x"223D" => q <= x"4C";
8298
             when x"223E" => q <= x"4F";
8299
             when x"223F" => q <= x"41";
8300
             when x"2240" => q <= x"54";
8301
             when x"2241" => q <= x"3E";
8302
             when x"2242" => q <= x"00";
8303
             when x"2243" => q <= x"C1";
8304
             when x"2244" => q <= x"E1";
8305
             when x"2245" => q <= x"E5";
8306
             when x"2246" => q <= x"C5";
8307
             when x"2247" => q <= x"AF";
8308
             when x"2248" => q <= x"47";
8309
             when x"2249" => q <= x"4F";
8310
             when x"224A" => q <= x"ED";
8311
             when x"224B" => q <= x"B1";
8312
             when x"224C" => q <= x"21";
8313
             when x"224D" => q <= x"FF";
8314
             when x"224E" => q <= x"FF";
8315
             when x"224F" => q <= x"ED";
8316
             when x"2250" => q <= x"42";
8317
             when x"2251" => q <= x"C9";
8318
             when x"2252" => q <= x"FD";
8319
             when x"2253" => q <= x"21";
8320
             when x"2254" => q <= x"00";
8321
             when x"2255" => q <= x"80";
8322
             when x"2256" => q <= x"FD";
8323
             when x"2257" => q <= x"36";
8324
             when x"2258" => q <= x"00";
8325
             when x"2259" => q <= x"01";
8326
             when x"225A" => q <= x"AF";
8327
             when x"225B" => q <= x"FD";
8328
             when x"225C" => q <= x"77";
8329
             when x"225D" => q <= x"01";
8330
             when x"225E" => q <= x"FD";
8331
             when x"225F" => q <= x"77";
8332
             when x"2260" => q <= x"02";
8333
             when x"2261" => q <= x"FD";
8334
             when x"2262" => q <= x"77";
8335
             when x"2263" => q <= x"03";
8336
             when x"2264" => q <= x"C9";
8337
             when others => q <="ZZZZZZZZ";
8338
        end case;
8339
 end if;
8340
end process;
8341
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.