OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.2/] [DE1/] [vhdl/] [z80soc_pack.vhd] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 rrred
-------------------------------------------------------------------------------------------------
2
-- This design is part of:
3
-- Z80SoC (Z80 System on Chip)
4
-- Ronivon Candido Costa
5
-- ronivon.costa@gmail.com
6
--
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
 
11
package z80soc_pack is
12
 
13
        constant vid_cols                       : integer := 80; -- video number of columns
14
        constant vid_lines              : integer := 60; -- video number of lines
15
        constant vram_base_addr : std_logic_vector(15 downto 0) := x"4000";
16
        constant pixelsxchar            : integer := 1;
17
 
18
end  z80soc_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.