OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE1/] [z80soc.pin] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
 -- Copyright (C) 1991-2013 Altera Corporation
2
 -- Your use of Altera Corporation's design tools, logic functions
3
 -- and other software and tools, and its AMPP partner logic
4
 -- functions, and any output files from any of the foregoing
5
 -- (including device programming or simulation files), and any
6
 -- associated documentation or information are expressly subject
7
 -- to the terms and conditions of the Altera Program License
8
 -- Subscription Agreement, Altera MegaCore Function License
9
 -- Agreement, or other applicable license agreement, including,
10
 -- without limitation, that your use is for the sole purpose of
11
 -- programming logic devices manufactured by Altera and sold by
12
 -- Altera or its authorized distributors.  Please refer to the
13
 -- applicable agreement for further details.
14
 --
15
 -- This is a Quartus II output file. It is for reporting purposes only, and is
16
 -- not intended for use as a Quartus II input file. This file cannot be used
17
 -- to make Quartus II pin assignments - for instructions on how to make pin
18
 -- assignments, please see Quartus II help.
19
 ---------------------------------------------------------------------------------
20
 
21
 
22
 
23
 ---------------------------------------------------------------------------------
24
 -- NC            : No Connect. This pin has no internal connection to the device.
25
 -- DNU           : Do Not Use. This pin MUST NOT be connected.
26
 -- VCCINT        : Dedicated power pin, which MUST be connected to VCC  (1.2V).
27
 -- VCCIO         : Dedicated power pin, which MUST be connected to VCC
28
 --                 of its bank.
29
 --                                     Bank 1:         3.3V
30
 --                                     Bank 2:         3.3V
31
 --                                     Bank 3:         3.3V
32
 --                                     Bank 4:         3.3V
33
 --                                     Bank 5:         3.3V
34
 --                                     Bank 6:         3.3V
35
 --                                     Bank 7:         3.3V
36
 --                                     Bank 8:         3.3V
37
 -- GND           : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
38
 --                                     It can also be used to report unused dedicated pins. The connection
39
 --                                     on the board for unused dedicated pins depends on whether this will
40
 --                                     be used in a future design. One example is device migration. When
41
 --                                     using device migration, refer to the device pin-tables. If it is a
42
 --                                     GND pin in the pin table or if it will not be used in a future design
43
 --                                     for another purpose the it MUST be connected to GND. If it is an unused
44
 --                                     dedicated pin, then it can be connected to a valid signal on the board
45
 --                                     (low, high, or toggling) if that signal is required for a different
46
 --                                     revision of the design.
47
 -- GND+          : Unused input pin. It can also be used to report unused dual-purpose pins.
48
 --                                     This pin should be connected to GND. It may also be connected  to a
49
 --                                     valid signal  on the board  (low, high, or toggling)  if that signal
50
 --                                     is required for a different revision of the design.
51
 -- GND*          : Unused  I/O  pin. Connect each pin marked GND* directly to GND
52
 --                 or leave it unconnected.
53
 -- RESERVED      : Unused I/O pin, which MUST be left unconnected.
54
 -- RESERVED_INPUT    : Pin is tri-stated and should be connected to the board.
55
 -- RESERVED_INPUT_WITH_WEAK_PULLUP    : Pin is tri-stated with internal weak pull-up resistor.
56
 -- RESERVED_INPUT_WITH_BUS_HOLD       : Pin is tri-stated with bus-hold circuitry.
57
 -- RESERVED_OUTPUT_DRIVEN_HIGH        : Pin is output driven high.
58
 ---------------------------------------------------------------------------------
59
 
60
 
61
 
62
 ---------------------------------------------------------------------------------
63
 -- Pin directions (input, output or bidir) are based on device operating in user mode.
64
 ---------------------------------------------------------------------------------
65
 
66
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
67
CHIP  "z80soc"  ASSIGNED TO AN: EP2C20F484C7
68
 
69
Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
70
-------------------------------------------------------------------------------------------------------------
71
GND                          : A1        : gnd    :                   :         :           :
72
VCCIO3                       : A2        : power  :                   : 3.3V    : 3         :
73
I2C_SCLK                     : A3        : output : 3.3-V LVTTL       :         : 3         : Y
74
AUD_BCLK                     : A4        : bidir  : 3.3-V LVTTL       :         : 3         : Y
75
AUD_DACLRCK                  : A5        : bidir  : 3.3-V LVTTL       :         : 3         : Y
76
AUD_ADCLRCK                  : A6        : bidir  : 3.3-V LVTTL       :         : 3         : Y
77
VGA_R[2]                     : A7        : output : 3.3-V LVTTL       :         : 3         : Y
78
VGA_G[3]                     : A8        : output : 3.3-V LVTTL       :         : 3         : Y
79
VGA_B[0]                     : A9        : output : 3.3-V LVTTL       :         : 3         : Y
80
VGA_B[2]                     : A10       : output : 3.3-V LVTTL       :         : 3         : Y
81
VGA_HS                       : A11       : output : 3.3-V LVTTL       :         : 3         : Y
82
GND+                         : A12       :        :                   :         : 4         :
83
GPIO_0[0]                    : A13       : bidir  : 3.3-V LVTTL       :         : 4         : Y
84
GPIO_0[2]                    : A14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
85
GPIO_0[4]                    : A15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
86
GPIO_0[6]                    : A16       : bidir  : 3.3-V LVTTL       :         : 4         : Y
87
GPIO_0[8]                    : A17       : bidir  : 3.3-V LVTTL       :         : 4         : Y
88
GPIO_0[10]                   : A18       : bidir  : 3.3-V LVTTL       :         : 4         : Y
89
GPIO_0[12]                   : A19       : bidir  : 3.3-V LVTTL       :         : 4         : Y
90
GPIO_0[14]                   : A20       : bidir  : 3.3-V LVTTL       :         : 4         : Y
91
VCCIO4                       : A21       : power  :                   : 3.3V    : 4         :
92
GND                          : A22       : gnd    :                   :         :           :
93
VCCIO1                       : AA1       : power  :                   : 3.3V    : 1         :
94
GND                          : AA2       : gnd    :                   :         :           :
95
SRAM_ADDR[0]                 : AA3       : output : 3.3-V LVTTL       :         : 8         : Y
96
SRAM_ADDR[2]                 : AA4       : output : 3.3-V LVTTL       :         : 8         : Y
97
SRAM_ADDR[4]                 : AA5       : output : 3.3-V LVTTL       :         : 8         : Y
98
SRAM_DQ[0]                   : AA6       : bidir  : 3.3-V LVTTL       :         : 8         : Y
99
SRAM_DQ[2]                   : AA7       : bidir  : 3.3-V LVTTL       :         : 8         : Y
100
SRAM_DQ[4]                   : AA8       : bidir  : 3.3-V LVTTL       :         : 8         : Y
101
SRAM_DQ[6]                   : AA9       : bidir  : 3.3-V LVTTL       :         : 8         : Y
102
SRAM_WE_N                    : AA10      : output : 3.3-V LVTTL       :         : 8         : Y
103
SRAM_ADDR[6]                 : AA11      : output : 3.3-V LVTTL       :         : 8         : Y
104
FL_ADDR[15]                  : AA12      : output : 3.3-V LVTTL       :         : 7         : Y
105
FL_ADDR[13]                  : AA13      : output : 3.3-V LVTTL       :         : 7         : Y
106
FL_ADDR[1]                   : AA14      : output : 3.3-V LVTTL       :         : 7         : Y
107
FL_OE_N                      : AA15      : output : 3.3-V LVTTL       :         : 7         : Y
108
FL_DQ[1]                     : AA16      : bidir  : 3.3-V LVTTL       :         : 7         : Y
109
FL_DQ[3]                     : AA17      : bidir  : 3.3-V LVTTL       :         : 7         : Y
110
FL_DQ[5]                     : AA18      : bidir  : 3.3-V LVTTL       :         : 7         : Y
111
FL_DQ[7]                     : AA19      : bidir  : 3.3-V LVTTL       :         : 7         : Y
112
FL_ADDR[17]                  : AA20      : output : 3.3-V LVTTL       :         : 7         : Y
113
GND                          : AA21      : gnd    :                   :         :           :
114
VCCIO6                       : AA22      : power  :                   : 3.3V    : 6         :
115
GND                          : AB1       : gnd    :                   :         :           :
116
VCCIO8                       : AB2       : power  :                   : 3.3V    : 8         :
117
SRAM_ADDR[1]                 : AB3       : output : 3.3-V LVTTL       :         : 8         : Y
118
SRAM_ADDR[3]                 : AB4       : output : 3.3-V LVTTL       :         : 8         : Y
119
SRAM_CE_N                    : AB5       : output : 3.3-V LVTTL       :         : 8         : Y
120
SRAM_DQ[1]                   : AB6       : bidir  : 3.3-V LVTTL       :         : 8         : Y
121
SRAM_DQ[3]                   : AB7       : bidir  : 3.3-V LVTTL       :         : 8         : Y
122
SRAM_DQ[5]                   : AB8       : bidir  : 3.3-V LVTTL       :         : 8         : Y
123
SRAM_DQ[7]                   : AB9       : bidir  : 3.3-V LVTTL       :         : 8         : Y
124
SRAM_ADDR[5]                 : AB10      : output : 3.3-V LVTTL       :         : 8         : Y
125
SRAM_ADDR[7]                 : AB11      : output : 3.3-V LVTTL       :         : 8         : Y
126
FL_ADDR[16]                  : AB12      : output : 3.3-V LVTTL       :         : 7         : Y
127
FL_ADDR[14]                  : AB13      : output : 3.3-V LVTTL       :         : 7         : Y
128
FL_ADDR[12]                  : AB14      : output : 3.3-V LVTTL       :         : 7         : Y
129
RESERVED_INPUT               : AB15      :        :                   :         : 7         :
130
FL_DQ[0]                     : AB16      : bidir  : 3.3-V LVTTL       :         : 7         : Y
131
FL_DQ[2]                     : AB17      : bidir  : 3.3-V LVTTL       :         : 7         : Y
132
FL_DQ[4]                     : AB18      : bidir  : 3.3-V LVTTL       :         : 7         : Y
133
FL_DQ[6]                     : AB19      : bidir  : 3.3-V LVTTL       :         : 7         : Y
134
FL_ADDR[0]                   : AB20      : output : 3.3-V LVTTL       :         : 7         : Y
135
VCCIO7                       : AB21      : power  :                   : 3.3V    : 7         :
136
GND                          : AB22      : gnd    :                   :         :           :
137
VCCIO2                       : B1        : power  :                   : 3.3V    : 2         :
138
GND                          : B2        : gnd    :                   :         :           :
139
I2C_SDAT                     : B3        : bidir  : 3.3-V LVTTL       :         : 3         : Y
140
AUD_XCK                      : B4        : output : 3.3-V LVTTL       :         : 3         : Y
141
AUD_DACDAT                   : B5        : output : 3.3-V LVTTL       :         : 3         : Y
142
AUD_ADCDAT                   : B6        : input  : 3.3-V LVTTL       :         : 3         : Y
143
VGA_R[3]                     : B7        : output : 3.3-V LVTTL       :         : 3         : Y
144
VGA_G[0]                     : B8        : output : 3.3-V LVTTL       :         : 3         : Y
145
VGA_G[2]                     : B9        : output : 3.3-V LVTTL       :         : 3         : Y
146
VGA_B[3]                     : B10       : output : 3.3-V LVTTL       :         : 3         : Y
147
VGA_VS                       : B11       : output : 3.3-V LVTTL       :         : 3         : Y
148
GND+                         : B12       :        :                   :         : 4         :
149
GPIO_0[1]                    : B13       : bidir  : 3.3-V LVTTL       :         : 4         : Y
150
GPIO_0[3]                    : B14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
151
GPIO_0[5]                    : B15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
152
GPIO_0[7]                    : B16       : bidir  : 3.3-V LVTTL       :         : 4         : Y
153
GPIO_0[9]                    : B17       : bidir  : 3.3-V LVTTL       :         : 4         : Y
154
GPIO_0[11]                   : B18       : bidir  : 3.3-V LVTTL       :         : 4         : Y
155
GPIO_0[13]                   : B19       : bidir  : 3.3-V LVTTL       :         : 4         : Y
156
GPIO_0[15]                   : B20       : bidir  : 3.3-V LVTTL       :         : 4         : Y
157
GND                          : B21       : gnd    :                   :         :           :
158
VCCIO5                       : B22       : power  :                   : 3.3V    : 5         :
159
HEX2[3]                      : C1        : output : 3.3-V LVTTL       :         : 2         : Y
160
HEX2[2]                      : C2        : output : 3.3-V LVTTL       :         : 2         : Y
161
~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C3        : input  : 3.3-V LVTTL       :         : 2         : N
162
~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C4        : input  : 3.3-V LVTTL       :         : 2         : N
163
GND                          : C5        : gnd    :                   :         :           :
164
VCCIO3                       : C6        : power  :                   : 3.3V    : 3         :
165
TCK                          : C7        : input  : 3.3-V LVTTL       :         : 3         : Y
166
GND                          : C8        : gnd    :                   :         :           :
167
VGA_R[1]                     : C9        : output : 3.3-V LVTTL       :         : 3         : Y
168
VGA_G[1]                     : C10       : output : 3.3-V LVTTL       :         : 3         : Y
169
VCCIO3                       : C11       : power  :                   : 3.3V    : 3         :
170
VCCIO4                       : C12       : power  :                   : 3.3V    : 4         :
171
RESERVED_INPUT               : C13       :        :                   :         : 4         :
172
GPIO_1[10]                   : C14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
173
GND                          : C15       : gnd    :                   :         :           :
174
CLOCK_27                     : C16       : input  : 3.3-V LVTTL       :         : 4         : N
175
GPIO_1[14]                   : C17       : bidir  : 3.3-V LVTTL       :         : 4         : Y
176
GPIO_1[15]                   : C18       : bidir  : 3.3-V LVTTL       :         : 4         : Y
177
GPIO_1[16]                   : C19       : bidir  : 3.3-V LVTTL       :         : 5         : Y
178
GPIO_1[17]                   : C20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
179
GPIO_0[16]                   : C21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
180
GPIO_0[17]                   : C22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
181
HEX1[6]                      : D1        : output : 3.3-V LVTTL       :         : 2         : Y
182
HEX1[5]                      : D2        : output : 3.3-V LVTTL       :         : 2         : Y
183
HEX2[6]                      : D3        : output : 3.3-V LVTTL       :         : 2         : Y
184
HEX3[6]                      : D4        : output : 3.3-V LVTTL       :         : 2         : Y
185
HEX3[1]                      : D5        : output : 3.3-V LVTTL       :         : 2         : Y
186
HEX3[2]                      : D6        : output : 3.3-V LVTTL       :         : 2         : Y
187
TDO                          : D7        : output : 3.3-V LVTTL       :         : 3         : Y
188
TCS                          : D8        : input  : 3.3-V LVTTL       :         : 3         : Y
189
VGA_R[0]                     : D9        : output : 3.3-V LVTTL       :         : 3         : Y
190
GND                          : D10       : gnd    :                   :         :           :
191
VGA_B[1]                     : D11       : output : 3.3-V LVTTL       :         : 3         : Y
192
GND+                         : D12       :        :                   :         : 3         :
193
GND                          : D13       : gnd    :                   :         :           :
194
GPIO_1[11]                   : D14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
195
GPIO_1[12]                   : D15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
196
GPIO_1[13]                   : D16       : bidir  : 3.3-V LVTTL       :         : 4         : Y
197
VCCIO4                       : D17       : power  :                   : 3.3V    : 4         :
198
GND                          : D18       : gnd    :                   :         :           :
199
GPIO_1[18]                   : D19       : bidir  : 3.3-V LVTTL       :         : 5         : Y
200
GPIO_1[19]                   : D20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
201
GPIO_0[18]                   : D21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
202
GPIO_0[19]                   : D22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
203
HEX1[0]                      : E1        : output : 3.3-V LVTTL       :         : 2         : Y
204
HEX0[6]                      : E2        : output : 3.3-V LVTTL       :         : 2         : Y
205
HEX2[4]                      : E3        : output : 3.3-V LVTTL       :         : 2         : Y
206
HEX2[5]                      : E4        : output : 3.3-V LVTTL       :         : 2         : Y
207
VCCD_PLL3                    : E5        : power  :                   : 1.2V    :           :
208
VCCA_PLL3                    : E6        : power  :                   : 1.2V    :           :
209
RESERVED_INPUT               : E7        :        :                   :         : 3         :
210
TDI                          : E8        : input  : 3.3-V LVTTL       :         : 3         : Y
211
RESERVED_INPUT               : E9        :        :                   :         : 3         :
212
VCCIO3                       : E10       : power  :                   : 3.3V    : 3         :
213
IRDA_RXD                     : E11       : input  : 3.3-V LVTTL       :         : 3         : N
214
GND+                         : E12       :        :                   :         : 3         :
215
VCCIO4                       : E13       : power  :                   : 3.3V    : 4         :
216
GPIO_1[4]                    : E14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
217
GPIO_1[5]                    : E15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
218
GNDA_PLL2                    : E16       : gnd    :                   :         :           :
219
GND_PLL2                     : E17       : gnd    :                   :         :           :
220
GPIO_1[23]                   : E18       : bidir  : 3.3-V LVTTL       :         : 5         : Y
221
GPIO_1[22]                   : E19       : bidir  : 3.3-V LVTTL       :         : 5         : Y
222
GPIO_1[20]                   : E20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
223
GPIO_0[20]                   : E21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
224
GPIO_0[21]                   : E22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
225
HEX0[5]                      : F1        : output : 3.3-V LVTTL       :         : 2         : Y
226
HEX0[4]                      : F2        : output : 3.3-V LVTTL       :         : 2         : Y
227
HEX3[5]                      : F3        : output : 3.3-V LVTTL       :         : 2         : Y
228
HEX3[0]                      : F4        : output : 3.3-V LVTTL       :         : 2         : Y
229
GND_PLL3                     : F5        : gnd    :                   :         :           :
230
GND_PLL3                     : F6        : gnd    :                   :         :           :
231
GNDA_PLL3                    : F7        : gnd    :                   :         :           :
232
RESERVED_INPUT               : F8        :        :                   :         : 3         :
233
SD_DAT                       : F9        : input  : 3.3-V LVTTL       :         : 3         : N
234
RESERVED_INPUT               : F10       :        :                   :         : 3         :
235
SD_DAT3                      : F11       : output : 3.3-V LVTTL       :         : 3         : N
236
GPIO_1[8]                    : F12       : bidir  : 3.3-V LVTTL       :         : 4         : Y
237
GPIO_1[9]                    : F13       : bidir  : 3.3-V LVTTL       :         : 4         : Y
238
UART_RXD                     : F14       : input  : 3.3-V LVTTL       :         : 4         : Y
239
GPIO_1[6]                    : F15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
240
VCCA_PLL2                    : F16       : power  :                   : 1.2V    :           :
241
VCCD_PLL2                    : F17       : power  :                   : 1.2V    :           :
242
GND_PLL2                     : F18       : gnd    :                   :         :           :
243
GND                          : F19       : gnd    :                   :         :           :
244
GPIO_1[21]                   : F20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
245
GPIO_0[22]                   : F21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
246
GPIO_0[23]                   : F22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
247
NC                           : G1        :        :                   :         :           :
248
NC                           : G2        :        :                   :         :           :
249
HEX1[4]                      : G3        : output : 3.3-V LVTTL       :         : 2         : Y
250
GND                          : G4        : gnd    :                   :         :           :
251
HEX2[0]                      : G5        : output : 3.3-V LVTTL       :         : 2         : Y
252
HEX2[1]                      : G6        : output : 3.3-V LVTTL       :         : 2         : Y
253
RESERVED_INPUT               : G7        :        :                   :         : 3         :
254
RESERVED_INPUT               : G8        :        :                   :         : 3         :
255
VCCIO3                       : G9        : power  :                   : 3.3V    : 3         :
256
GND                          : G10       : gnd    :                   :         :           :
257
RESERVED_INPUT               : G11       :        :                   :         : 3         :
258
UART_TXD                     : G12       : output : 3.3-V LVTTL       :         : 4         : Y
259
GND                          : G13       : gnd    :                   :         :           :
260
VCCIO4                       : G14       : power  :                   : 3.3V    : 4         :
261
GPIO_1[3]                    : G15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
262
GPIO_1[7]                    : G16       : bidir  : 3.3-V LVTTL       :         : 4         : Y
263
GPIO_1[26]                   : G17       : bidir  : 3.3-V LVTTL       :         : 5         : Y
264
GPIO_1[25]                   : G18       : bidir  : 3.3-V LVTTL       :         : 5         : Y
265
VCCIO5                       : G19       : power  :                   : 3.3V    : 5         :
266
GPIO_1[24]                   : G20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
267
GPIO_0[24]                   : G21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
268
GPIO_0[25]                   : G22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
269
HEX0[3]                      : H1        : output : 3.3-V LVTTL       :         : 2         : Y
270
HEX0[2]                      : H2        : output : 3.3-V LVTTL       :         : 2         : Y
271
RESERVED_INPUT               : H3        :        :                   :         : 2         :
272
HEX1[3]                      : H4        : output : 3.3-V LVTTL       :         : 2         : Y
273
HEX1[2]                      : H5        : output : 3.3-V LVTTL       :         : 2         : Y
274
HEX1[1]                      : H6        : output : 3.3-V LVTTL       :         : 2         : Y
275
RESERVED_INPUT               : H7        :        :                   :         : 3         :
276
RESERVED_INPUT               : H8        :        :                   :         : 3         :
277
FL_CE_N                      : H9        : output : 3.3-V LVTTL       :         : 3         : N
278
RESERVED_INPUT               : H10       :        :                   :         : 3         :
279
SD_CMD                       : H11       : output : 3.3-V LVTTL       :         : 3         : N
280
GPIO_1[0]                    : H12       : bidir  : 3.3-V LVTTL       :         : 4         : Y
281
GPIO_1[1]                    : H13       : bidir  : 3.3-V LVTTL       :         : 4         : Y
282
GPIO_1[2]                    : H14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
283
PS2_CLK                      : H15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
284
RESERVED_INPUT               : H16       :        :                   :         : 5         :
285
GPIO_1[27]                   : H17       : bidir  : 3.3-V LVTTL       :         : 5         : Y
286
GPIO_1[29]                   : H18       : bidir  : 3.3-V LVTTL       :         : 5         : Y
287
RESERVED_INPUT               : H19       :        :                   :         : 5         :
288
GND                          : H20       : gnd    :                   :         :           :
289
NC                           : H21       :        :                   :         :           :
290
NC                           : H22       :        :                   :         :           :
291
HEX0[1]                      : J1        : output : 3.3-V LVTTL       :         : 2         : Y
292
HEX0[0]                      : J2        : output : 3.3-V LVTTL       :         : 2         : Y
293
NC                           : J3        :        :                   :         :           :
294
HEX3[3]                      : J4        : output : 3.3-V LVTTL       :         : 2         : Y
295
NC                           : J5        :        :                   :         :           :
296
NC                           : J6        :        :                   :         :           :
297
VCCIO2                       : J7        : power  :                   : 3.3V    : 2         :
298
NC                           : J8        :        :                   :         :           :
299
NC                           : J9        :        :                   :         :           :
300
VCCINT                       : J10       : power  :                   : 1.2V    :           :
301
VCCINT                       : J11       : power  :                   : 1.2V    :           :
302
VCCINT                       : J12       : power  :                   : 1.2V    :           :
303
VCCINT                       : J13       : power  :                   : 1.2V    :           :
304
PS2_DAT                      : J14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
305
GPIO_1[28]                   : J15       : bidir  : 3.3-V LVTTL       :         : 5         : Y
306
VCCIO5                       : J16       : power  :                   : 3.3V    : 5         :
307
RESERVED_INPUT               : J17       :        :                   :         : 5         :
308
GPIO_0[32]                   : J18       : bidir  : 3.3-V LVTTL       :         : 5         : Y
309
GPIO_0[30]                   : J19       : bidir  : 3.3-V LVTTL       :         : 5         : Y
310
GPIO_0[31]                   : J20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
311
GPIO_0[26]                   : J21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
312
GPIO_0[27]                   : J22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
313
nCE                          : K1        :        :                   :         : 2         :
314
TCK                          : K2        : input  :                   :         : 2         :
315
GND                          : K3        : gnd    :                   :         :           :
316
DATA0                        : K4        : input  :                   :         : 2         :
317
TDI                          : K5        : input  :                   :         : 2         :
318
TMS                          : K6        : input  :                   :         : 2         :
319
GND                          : K7        : gnd    :                   :         :           :
320
NC                           : K8        :        :                   :         :           :
321
VCCINT                       : K9        : power  :                   : 1.2V    :           :
322
GND                          : K10       : gnd    :                   :         :           :
323
GND                          : K11       : gnd    :                   :         :           :
324
GND                          : K12       : gnd    :                   :         :           :
325
GND                          : K13       : gnd    :                   :         :           :
326
VCCINT                       : K14       : power  :                   : 1.2V    :           :
327
NC                           : K15       :        :                   :         :           :
328
GND                          : K16       : gnd    :                   :         :           :
329
NC                           : K17       :        :                   :         :           :
330
NC                           : K18       :        :                   :         :           :
331
GND                          : K19       : gnd    :                   :         :           :
332
GPIO_0[33]                   : K20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
333
GPIO_0[28]                   : K21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
334
GPIO_0[29]                   : K22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
335
CLOCK_50                     : L1        : input  : 3.3-V LVTTL       :         : 2         : Y
336
SW[9]                        : L2        : input  : 3.3-V LVTTL       :         : 2         : Y
337
VCCIO2                       : L3        : power  :                   : 3.3V    : 2         :
338
nCONFIG                      : L4        :        :                   :         : 2         :
339
TDO                          : L5        : output :                   :         : 2         :
340
DCLK                         : L6        :        :                   :         : 2         :
341
NC                           : L7        :        :                   :         :           :
342
HEX3[4]                      : L8        : output : 3.3-V LVTTL       :         : 2         : Y
343
VCCINT                       : L9        : power  :                   : 1.2V    :           :
344
GND                          : L10       : gnd    :                   :         :           :
345
GND                          : L11       : gnd    :                   :         :           :
346
GND                          : L12       : gnd    :                   :         :           :
347
GND                          : L13       : gnd    :                   :         :           :
348
VCCINT                       : L14       : power  :                   : 1.2V    :           :
349
NC                           : L15       :        :                   :         :           :
350
NC                           : L16       :        :                   :         :           :
351
NC                           : L17       :        :                   :         :           :
352
GPIO_0[35]                   : L18       : bidir  : 3.3-V LVTTL       :         : 5         : Y
353
GPIO_0[34]                   : L19       : bidir  : 3.3-V LVTTL       :         : 5         : Y
354
VCCIO5                       : L20       : power  :                   : 3.3V    : 5         :
355
SW[1]                        : L21       : input  : 3.3-V LVTTL       :         : 5         : Y
356
SW[0]                        : L22       : input  : 3.3-V LVTTL       :         : 5         : Y
357
SW[8]                        : M1        : input  : 3.3-V LVTTL       :         : 1         : Y
358
SW[7]                        : M2        : input  : 3.3-V LVTTL       :         : 1         : Y
359
VCCIO1                       : M3        : power  :                   : 3.3V    : 1         :
360
GND                          : M4        : gnd    :                   :         :           :
361
DRAM_UDQM                    : M5        : output : 3.3-V LVTTL       :         : 1         : Y
362
RESERVED_INPUT               : M6        :        :                   :         : 1         :
363
NC                           : M7        :        :                   :         :           :
364
NC                           : M8        :        :                   :         :           :
365
VCCINT                       : M9        : power  :                   : 1.2V    :           :
366
GND                          : M10       : gnd    :                   :         :           :
367
GND                          : M11       : gnd    :                   :         :           :
368
GND                          : M12       : gnd    :                   :         :           :
369
GND                          : M13       : gnd    :                   :         :           :
370
VCCINT                       : M14       : power  :                   : 1.2V    :           :
371
NC                           : M15       :        :                   :         :           :
372
NC                           : M16       :        :                   :         :           :
373
MSEL0                        : M17       :        :                   :         : 6         :
374
RESERVED_INPUT               : M18       :        :                   :         : 6         :
375
RESERVED_INPUT               : M19       :        :                   :         : 6         :
376
VCCIO6                       : M20       : power  :                   : 3.3V    : 6         :
377
EXT_CLOCK                    : M21       : input  : 3.3-V LVTTL       :         : 6         : Y
378
SW[2]                        : M22       : input  : 3.3-V LVTTL       :         : 6         : Y
379
DRAM_DQ[8]                   : N1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
380
DRAM_DQ[9]                   : N2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
381
DRAM_CKE                     : N3        : output : 3.3-V LVTTL       :         : 1         : Y
382
DRAM_ADDR[9]                 : N4        : output : 3.3-V LVTTL       :         : 1         : Y
383
NC                           : N5        :        :                   :         :           :
384
DRAM_ADDR[11]                : N6        : output : 3.3-V LVTTL       :         : 1         : Y
385
GND                          : N7        : gnd    :                   :         :           :
386
NC                           : N8        :        :                   :         :           :
387
VCCINT                       : N9        : power  :                   : 1.2V    :           :
388
GND                          : N10       : gnd    :                   :         :           :
389
GND                          : N11       : gnd    :                   :         :           :
390
GND                          : N12       : gnd    :                   :         :           :
391
GND                          : N13       : gnd    :                   :         :           :
392
VCCINT                       : N14       : power  :                   : 1.2V    :           :
393
GPIO_1[33]                   : N15       : bidir  : 3.3-V LVTTL       :         : 6         : Y
394
GND                          : N16       : gnd    :                   :         :           :
395
MSEL1                        : N17       :        :                   :         : 6         :
396
CONF_DONE                    : N18       :        :                   :         : 6         :
397
GND                          : N19       : gnd    :                   :         :           :
398
nSTATUS                      : N20       :        :                   :         : 6         :
399
GPIO_1[31]                   : N21       : bidir  : 3.3-V LVTTL       :         : 6         : Y
400
GPIO_1[30]                   : N22       : bidir  : 3.3-V LVTTL       :         : 6         : Y
401
DRAM_DQ[10]                  : P1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
402
DRAM_DQ[11]                  : P2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
403
DRAM_ADDR[8]                 : P3        : output : 3.3-V LVTTL       :         : 1         : Y
404
NC                           : P4        :        :                   :         :           :
405
DRAM_ADDR[7]                 : P5        : output : 3.3-V LVTTL       :         : 1         : Y
406
DRAM_ADDR[6]                 : P6        : output : 3.3-V LVTTL       :         : 1         : Y
407
VCCIO1                       : P7        : power  :                   : 3.3V    : 1         :
408
RESERVED_INPUT               : P8        :        :                   :         : 8         :
409
RESERVED_INPUT               : P9        :        :                   :         : 8         :
410
VCCINT                       : P10       : power  :                   : 1.2V    :           :
411
VCCINT                       : P11       : power  :                   : 1.2V    :           :
412
VCCINT                       : P12       : power  :                   : 1.2V    :           :
413
VCCINT                       : P13       : power  :                   : 1.2V    :           :
414
NC                           : P14       :        :                   :         :           :
415
GPIO_1[32]                   : P15       : bidir  : 3.3-V LVTTL       :         : 6         : Y
416
VCCIO6                       : P16       : power  :                   : 3.3V    : 6         :
417
GPIO_1[34]                   : P17       : bidir  : 3.3-V LVTTL       :         : 6         : Y
418
GPIO_1[35]                   : P18       : bidir  : 3.3-V LVTTL       :         : 6         : Y
419
NC                           : P19       :        :                   :         :           :
420
NC                           : P20       :        :                   :         :           :
421
NC                           : P21       :        :                   :         :           :
422
NC                           : P22       :        :                   :         :           :
423
DRAM_DQ[12]                  : R1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
424
DRAM_DQ[13]                  : R2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
425
GND                          : R3        : gnd    :                   :         :           :
426
NC                           : R4        :        :                   :         :           :
427
DRAM_ADDR[5]                 : R5        : output : 3.3-V LVTTL       :         : 1         : Y
428
DRAM_ADDR[4]                 : R6        : output : 3.3-V LVTTL       :         : 1         : Y
429
DRAM_LDQM                    : R7        : output : 3.3-V LVTTL       :         : 1         : Y
430
DRAM_WE_N                    : R8        : output : 3.3-V LVTTL       :         : 1         : Y
431
SRAM_DQ[12]                  : R9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
432
SRAM_ADDR[14]                : R10       : output : 3.3-V LVTTL       :         : 8         : Y
433
SRAM_ADDR[10]                : R11       : output : 3.3-V LVTTL       :         : 8         : Y
434
FL_ADDR[10]                  : R12       : output : 3.3-V LVTTL       :         : 7         : Y
435
FL_ADDR[21]                  : R13       : output : 3.3-V LVTTL       :         : 7         : Y
436
FL_ADDR[8]                   : R14       : output : 3.3-V LVTTL       :         : 7         : Y
437
FL_ADDR[3]                   : R15       : output : 3.3-V LVTTL       :         : 7         : Y
438
RESERVED_INPUT               : R16       :        :                   :         : 7         :
439
LEDR[9]                      : R17       : output : 3.3-V LVTTL       :         : 6         : Y
440
LEDR[8]                      : R18       : output : 3.3-V LVTTL       :         : 6         : Y
441
LEDR[1]                      : R19       : output : 3.3-V LVTTL       :         : 6         : Y
442
LEDR[0]                      : R20       : output : 3.3-V LVTTL       :         : 6         : Y
443
KEY[1]                       : R21       : input  : 3.3-V LVTTL       :         : 6         : Y
444
KEY[0]                       : R22       : input  : 3.3-V LVTTL       :         : 6         : Y
445
DRAM_DQ[14]                  : T1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
446
DRAM_DQ[15]                  : T2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
447
DRAM_CAS_N                   : T3        : output : 3.3-V LVTTL       :         : 1         : Y
448
VCCIO1                       : T4        : power  :                   : 3.3V    : 1         :
449
DRAM_RAS_N                   : T5        : output : 3.3-V LVTTL       :         : 1         : Y
450
DRAM_CS_N                    : T6        : output : 3.3-V LVTTL       :         : 1         : Y
451
SRAM_ADDR[15]                : T7        : output : 3.3-V LVTTL       :         : 8         : Y
452
SRAM_OE_N                    : T8        : output : 3.3-V LVTTL       :         : 8         : Y
453
VCCIO8                       : T9        : power  :                   : 3.3V    : 8         :
454
GND                          : T10       : gnd    :                   :         :           :
455
SRAM_ADDR[11]                : T11       : output : 3.3-V LVTTL       :         : 8         : Y
456
FL_ADDR[11]                  : T12       : output : 3.3-V LVTTL       :         : 7         : Y
457
GND                          : T13       : gnd    :                   :         :           :
458
VCCIO7                       : T14       : power  :                   : 3.3V    : 7         :
459
FL_ADDR[4]                   : T15       : output : 3.3-V LVTTL       :         : 7         : Y
460
SD_CLK                       : T16       : output : 3.3-V LVTTL       :         : 7         : N
461
GND_PLL4                     : T17       : gnd    :                   :         :           :
462
LEDR[4]                      : T18       : output : 3.3-V LVTTL       :         : 6         : Y
463
VCCIO6                       : T19       : power  :                   : 3.3V    : 6         :
464
GND                          : T20       : gnd    :                   :         :           :
465
KEY[3]                       : T21       : input  : 3.3-V LVTTL       :         : 6         : Y
466
KEY[2]                       : T22       : input  : 3.3-V LVTTL       :         : 6         : Y
467
DRAM_DQ[0]                   : U1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
468
DRAM_DQ[1]                   : U2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
469
DRAM_BA_0                    : U3        : output : 3.3-V LVTTL       :         : 1         : Y
470
DRAM_CLK                     : U4        : output : 3.3-V LVTTL       :         : 1         : Y
471
GND_PLL1                     : U5        : gnd    :                   :         :           :
472
VCCD_PLL1                    : U6        : power  :                   : 1.2V    :           :
473
VCCA_PLL1                    : U7        : power  :                   : 1.2V    :           :
474
SRAM_DQ[15]                  : U8        : bidir  : 3.3-V LVTTL       :         : 8         : Y
475
SRAM_DQ[11]                  : U9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
476
SRAM_ADDR[13]                : U10       : output : 3.3-V LVTTL       :         : 8         : Y
477
SW[6]                        : U11       : input  : 3.3-V LVTTL       :         : 8         : Y
478
SW[5]                        : U12       : input  : 3.3-V LVTTL       :         : 8         : Y
479
FL_ADDR[20]                  : U13       : output : 3.3-V LVTTL       :         : 7         : Y
480
FL_ADDR[18]                  : U14       : output : 3.3-V LVTTL       :         : 7         : Y
481
FL_ADDR[5]                   : U15       : output : 3.3-V LVTTL       :         : 7         : Y
482
VCCA_PLL4                    : U16       : power  :                   : 1.2V    :           :
483
VCCD_PLL4                    : U17       : power  :                   : 1.2V    :           :
484
LEDR[7]                      : U18       : output : 3.3-V LVTTL       :         : 6         : Y
485
LEDR[2]                      : U19       : output : 3.3-V LVTTL       :         : 6         : Y
486
RESERVED_INPUT               : U20       :        :                   :         : 6         :
487
LEDG[1]                      : U21       : output : 3.3-V LVTTL       :         : 6         : Y
488
LEDG[0]                      : U22       : output : 3.3-V LVTTL       :         : 6         : Y
489
DRAM_DQ[2]                   : V1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
490
DRAM_DQ[3]                   : V2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
491
GND                          : V3        : gnd    :                   :         :           :
492
DRAM_BA_1                    : V4        : output : 3.3-V LVTTL       :         : 1         : Y
493
GND_PLL1                     : V5        : gnd    :                   :         :           :
494
GND                          : V6        : gnd    :                   :         :           :
495
GNDA_PLL1                    : V7        : gnd    :                   :         :           :
496
SRAM_DQ[14]                  : V8        : bidir  : 3.3-V LVTTL       :         : 8         : Y
497
SRAM_DQ[10]                  : V9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
498
VCCIO8                       : V10       : power  :                   : 3.3V    : 8         :
499
SRAM_ADDR[8]                 : V11       : output : 3.3-V LVTTL       :         : 8         : Y
500
SW[3]                        : V12       : input  : 3.3-V LVTTL       :         : 7         : Y
501
VCCIO7                       : V13       : power  :                   : 3.3V    : 7         :
502
FL_ADDR[19]                  : V14       : output : 3.3-V LVTTL       :         : 7         : Y
503
FL_ADDR[6]                   : V15       : output : 3.3-V LVTTL       :         : 7         : Y
504
GNDA_PLL4                    : V16       : gnd    :                   :         :           :
505
GND                          : V17       : gnd    :                   :         :           :
506
GND_PLL4                     : V18       : gnd    :                   :         :           :
507
LEDR[5]                      : V19       : output : 3.3-V LVTTL       :         : 6         : Y
508
RESERVED_INPUT               : V20       :        :                   :         : 6         :
509
LEDG[3]                      : V21       : output : 3.3-V LVTTL       :         : 6         : Y
510
LEDG[2]                      : V22       : output : 3.3-V LVTTL       :         : 6         : Y
511
DRAM_DQ[4]                   : W1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
512
DRAM_DQ[5]                   : W2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
513
DRAM_ADDR[10]                : W3        : output : 3.3-V LVTTL       :         : 1         : Y
514
DRAM_ADDR[0]                 : W4        : output : 3.3-V LVTTL       :         : 1         : Y
515
DRAM_ADDR[1]                 : W5        : output : 3.3-V LVTTL       :         : 1         : Y
516
VCCIO8                       : W6        : power  :                   : 3.3V    : 8         :
517
SRAM_UB_N                    : W7        : output : 3.3-V LVTTL       :         : 8         : Y
518
SRAM_DQ[13]                  : W8        : bidir  : 3.3-V LVTTL       :         : 8         : Y
519
SRAM_DQ[9]                   : W9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
520
GND                          : W10       : gnd    :                   :         :           :
521
SRAM_ADDR[9]                 : W11       : output : 3.3-V LVTTL       :         : 8         : Y
522
SW[4]                        : W12       : input  : 3.3-V LVTTL       :         : 7         : Y
523
GND                          : W13       : gnd    :                   :         :           :
524
FL_RST_N                     : W14       : output : 3.3-V LVTTL       :         : 7         : Y
525
FL_ADDR[7]                   : W15       : output : 3.3-V LVTTL       :         : 7         : Y
526
RESERVED_INPUT               : W16       :        :                   :         : 7         :
527
VCCIO7                       : W17       : power  :                   : 3.3V    : 7         :
528
NC                           : W18       :        :                   :         :           :
529
GND                          : W19       : gnd    :                   :         :           :
530
~LVDS91p/nCEO~               : W20       : output : 3.3-V LVTTL       :         : 6         : N
531
LEDG[5]                      : W21       : output : 3.3-V LVTTL       :         : 6         : Y
532
LEDG[4]                      : W22       : output : 3.3-V LVTTL       :         : 6         : Y
533
DRAM_DQ[6]                   : Y1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
534
DRAM_DQ[7]                   : Y2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
535
DRAM_ADDR[2]                 : Y3        : output : 3.3-V LVTTL       :         : 1         : Y
536
DRAM_ADDR[3]                 : Y4        : output : 3.3-V LVTTL       :         : 1         : Y
537
SRAM_ADDR[17]                : Y5        : output : 3.3-V LVTTL       :         : 8         : Y
538
SRAM_ADDR[16]                : Y6        : output : 3.3-V LVTTL       :         : 8         : Y
539
SRAM_LB_N                    : Y7        : output : 3.3-V LVTTL       :         : 8         : Y
540
GND                          : Y8        : gnd    :                   :         :           :
541
SRAM_DQ[8]                   : Y9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
542
SRAM_ADDR[12]                : Y10       : output : 3.3-V LVTTL       :         : 8         : Y
543
VCCIO8                       : Y11       : power  :                   : 3.3V    : 8         :
544
VCCIO7                       : Y12       : power  :                   : 3.3V    : 7         :
545
FL_ADDR[9]                   : Y13       : output : 3.3-V LVTTL       :         : 7         : Y
546
FL_WE_N                      : Y14       : output : 3.3-V LVTTL       :         : 7         : Y
547
GND                          : Y15       : gnd    :                   :         :           :
548
FL_ADDR[2]                   : Y16       : output : 3.3-V LVTTL       :         : 7         : Y
549
RESERVED_INPUT               : Y17       :        :                   :         : 7         :
550
LEDR[6]                      : Y18       : output : 3.3-V LVTTL       :         : 6         : Y
551
LEDR[3]                      : Y19       : output : 3.3-V LVTTL       :         : 6         : Y
552
RESERVED_INPUT               : Y20       :        :                   :         : 6         :
553
LEDG[7]                      : Y21       : output : 3.3-V LVTTL       :         : 6         : Y
554
LEDG[6]                      : Y22       : output : 3.3-V LVTTL       :         : 6         : Y

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.