OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [vhdl/] [vram8k.vhd] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
-- megafunction wizard: %RAM: 2-PORT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: vram8k.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 7.2 Build 175 11/20/2007 SP 1 SJ Web Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2007 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
ENTITY vram8k IS
43
        PORT
44
        (
45
                address_a               : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
46
                address_b               : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
47
                clock_a         : IN STD_LOGIC ;
48
                clock_b         : IN STD_LOGIC ;
49
                data_a          : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
50
                data_b          : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
51
                wren_a          : IN STD_LOGIC  := '1';
52
                wren_b          : IN STD_LOGIC  := '1';
53
                q_a             : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
54
                q_b             : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
55
        );
56
END vram8k;
57
 
58
 
59
ARCHITECTURE SYN OF vram8k IS
60
 
61
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (7 DOWNTO 0);
62
        SIGNAL sub_wire1        : STD_LOGIC_VECTOR (7 DOWNTO 0);
63
 
64
 
65
 
66
        COMPONENT altsyncram
67
        GENERIC (
68
                address_reg_b           : STRING;
69
                clock_enable_input_a            : STRING;
70
                clock_enable_input_b            : STRING;
71
                clock_enable_output_a           : STRING;
72
                clock_enable_output_b           : STRING;
73
                indata_reg_b            : STRING;
74
                intended_device_family          : STRING;
75
                lpm_type                : STRING;
76
                numwords_a              : NATURAL;
77
                numwords_b              : NATURAL;
78
                operation_mode          : STRING;
79
                outdata_aclr_a          : STRING;
80
                outdata_aclr_b          : STRING;
81
                outdata_reg_a           : STRING;
82
                outdata_reg_b           : STRING;
83
                power_up_uninitialized          : STRING;
84
                read_during_write_mode_mixed_ports              : STRING;
85
                widthad_a               : NATURAL;
86
                widthad_b               : NATURAL;
87
                width_a         : NATURAL;
88
                width_b         : NATURAL;
89
                width_byteena_a         : NATURAL;
90
                width_byteena_b         : NATURAL;
91
                wrcontrol_wraddress_reg_b               : STRING
92
        );
93
        PORT (
94
                        wren_a  : IN STD_LOGIC ;
95
                        clock0  : IN STD_LOGIC ;
96
                        wren_b  : IN STD_LOGIC ;
97
                        clock1  : IN STD_LOGIC ;
98
                        address_a       : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
99
                        address_b       : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
100
                        q_a     : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
101
                        q_b     : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
102
                        data_a  : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
103
                        data_b  : IN STD_LOGIC_VECTOR (7 DOWNTO 0)
104
        );
105
        END COMPONENT;
106
 
107
BEGIN
108
        q_a    <= sub_wire0(7 DOWNTO 0);
109
        q_b    <= sub_wire1(7 DOWNTO 0);
110
 
111
        altsyncram_component : altsyncram
112
        GENERIC MAP (
113
                address_reg_b => "CLOCK1",
114
                clock_enable_input_a => "BYPASS",
115
                clock_enable_input_b => "BYPASS",
116
                clock_enable_output_a => "BYPASS",
117
                clock_enable_output_b => "BYPASS",
118
                indata_reg_b => "CLOCK1",
119
                intended_device_family => "Cyclone II",
120
                lpm_type => "altsyncram",
121
                numwords_a => 8192,
122
                numwords_b => 8192,
123
                operation_mode => "BIDIR_DUAL_PORT",
124
                outdata_aclr_a => "NONE",
125
                outdata_aclr_b => "NONE",
126
                outdata_reg_a => "UNREGISTERED",
127
                outdata_reg_b => "UNREGISTERED",
128
                power_up_uninitialized => "FALSE",
129
                read_during_write_mode_mixed_ports => "OLD_DATA",
130
                widthad_a => 13,
131
                widthad_b => 13,
132
                width_a => 8,
133
                width_b => 8,
134
                width_byteena_a => 1,
135
                width_byteena_b => 1,
136
                wrcontrol_wraddress_reg_b => "CLOCK1"
137
        )
138
        PORT MAP (
139
                wren_a => wren_a,
140
                clock0 => clock_a,
141
                wren_b => wren_b,
142
                clock1 => clock_b,
143
                address_a => address_a,
144
                address_b => address_b,
145
                data_a => data_a,
146
                data_b => data_b,
147
                q_a => sub_wire0,
148
                q_b => sub_wire1
149
        );
150
 
151
 
152
 
153
END SYN;
154
 
155
-- ============================================================
156
-- CNX file retrieval info
157
-- ============================================================
158
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
159
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
160
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
161
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
162
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
163
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
164
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
165
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
166
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
167
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
168
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
169
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
170
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
171
-- Retrieval info: PRIVATE: CLRq NUMERIC "0"
172
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
173
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
174
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
175
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
176
-- Retrieval info: PRIVATE: Clock NUMERIC "5"
177
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
178
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
179
-- Retrieval info: PRIVATE: ECC NUMERIC "0"
180
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
181
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
182
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1"
183
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
184
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
185
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
186
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
187
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
188
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
189
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "65536"
190
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
191
-- Retrieval info: PRIVATE: MIFfilename STRING ""
192
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3"
193
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
194
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
195
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
196
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1"
197
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
198
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
199
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
200
-- Retrieval info: PRIVATE: REGq NUMERIC "0"
201
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0"
202
-- Retrieval info: PRIVATE: REGrren NUMERIC "0"
203
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
204
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
205
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
206
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
207
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
208
-- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
209
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8"
210
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
211
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8"
212
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
213
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
214
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1"
215
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
216
-- Retrieval info: PRIVATE: enable NUMERIC "0"
217
-- Retrieval info: PRIVATE: rden NUMERIC "0"
218
-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1"
219
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
220
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
221
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
222
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
223
-- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK1"
224
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
225
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
226
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "8192"
227
-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "8192"
228
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT"
229
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
230
-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
231
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
232
-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
233
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
234
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA"
235
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "13"
236
-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "13"
237
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
238
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "8"
239
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
240
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1"
241
-- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK1"
242
-- Retrieval info: USED_PORT: address_a 0 0 13 0 INPUT NODEFVAL address_a[12..0]
243
-- Retrieval info: USED_PORT: address_b 0 0 13 0 INPUT NODEFVAL address_b[12..0]
244
-- Retrieval info: USED_PORT: clock_a 0 0 0 0 INPUT NODEFVAL clock_a
245
-- Retrieval info: USED_PORT: clock_b 0 0 0 0 INPUT NODEFVAL clock_b
246
-- Retrieval info: USED_PORT: data_a 0 0 8 0 INPUT NODEFVAL data_a[7..0]
247
-- Retrieval info: USED_PORT: data_b 0 0 8 0 INPUT NODEFVAL data_b[7..0]
248
-- Retrieval info: USED_PORT: q_a 0 0 8 0 OUTPUT NODEFVAL q_a[7..0]
249
-- Retrieval info: USED_PORT: q_b 0 0 8 0 OUTPUT NODEFVAL q_b[7..0]
250
-- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT VCC wren_a
251
-- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT VCC wren_b
252
-- Retrieval info: CONNECT: @data_a 0 0 8 0 data_a 0 0 8 0
253
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0
254
-- Retrieval info: CONNECT: q_a 0 0 8 0 @q_a 0 0 8 0
255
-- Retrieval info: CONNECT: q_b 0 0 8 0 @q_b 0 0 8 0
256
-- Retrieval info: CONNECT: @address_a 0 0 13 0 address_a 0 0 13 0
257
-- Retrieval info: CONNECT: @data_b 0 0 8 0 data_b 0 0 8 0
258
-- Retrieval info: CONNECT: @address_b 0 0 13 0 address_b 0 0 13 0
259
-- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0
260
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock_a 0 0 0 0
261
-- Retrieval info: CONNECT: @clock1 0 0 0 0 clock_b 0 0 0 0
262
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
263
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k.vhd TRUE
264
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k.inc FALSE
265
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k.cmp TRUE
266
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k.bsf TRUE FALSE
267
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k_inst.vhd TRUE
268
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k_waveforms.html TRUE
269
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k_wave*.jpg FALSE
270
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.