OpenCores
URL https://opencores.org/ocsvn/zet86/zet86/trunk

Subversion Repositories zet86

[/] [zet86/] [trunk/] [soc/] [aceusb/] [rtl/] [aceusb.v] - Blame information for rev 53

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 52 zeus
/*
2
 *  WISHBONE to SystemACE MPU + CY7C67300 bridge
3
 *  Copyright (C) 2008 Sebastien Bourdeauducq - http://lekernel.net
4
 *  Modified on Mar 2009 by Zeus Gomez Marmolejo <zeus@opencores.org>
5
 *
6
 *  This file is part of the Zet processor. This processor is free
7
 *  hardware; you can redistribute it and/or modify it under the terms of
8
 *  the GNU General Public License as published by the Free Software
9
 *  Foundation; either version 3, or (at your option) any later version.
10
 *
11
 *  Zet is distrubuted in the hope that it will be useful, but WITHOUT
12
 *  ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
13
 *  or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
14
 *  License for more details.
15
 *
16
 *  You should have received a copy of the GNU General Public License
17
 *  along with Zet; see the file COPYING. If not, see
18
 *  <http://www.gnu.org/licenses/>.
19
 */
20
 
21
module aceusb (
22
    /* WISHBONE slave interface */
23
    input         wb_clk_i,
24
    input         wb_rst_i,
25
    input  [ 6:1] wb_adr_i,
26
    input  [15:0] wb_dat_i,
27
    output [15:0] wb_dat_o,
28
    input         wb_cyc_i,
29
    input         wb_stb_i,
30
    input         wb_we_i,
31
    output reg    wb_ack_o,
32
 
33
    /* Signals shared between SystemACE and USB */
34
    output [ 6:1] aceusb_a_,
35
    inout  [15:0] aceusb_d_,
36
    output        aceusb_oe_n_,
37
    output        aceusb_we_n_,
38
 
39
    /* SystemACE signals */
40
    input         ace_clkin_,
41
    output        ace_mpce_n_,
42
 
43
    output        usb_cs_n_,
44
    output        usb_hpi_reset_n_
45
  );
46
 
47
wire access_read1;
48
wire access_write1;
49
wire access_ack1;
50
 
51
/* Avoid potential glitches by sampling wb_adr_i and wb_dat_i only at the appropriate time */
52
reg load_adr_dat;
53
reg [5:0] address_reg;
54
reg [15:0] data_reg;
55
always @(posedge wb_clk_i) begin
56
  if(load_adr_dat) begin
57
    address_reg <= wb_adr_i;
58
    data_reg <= wb_dat_i;
59
  end
60
end
61
 
62
aceusb_access access(
63
  .ace_clkin(ace_clkin_),
64
  .rst(wb_rst_i),
65
 
66
  .a(address_reg),
67
  .di(data_reg),
68
  .do(wb_dat_o),
69
  .read(access_read1),
70
  .write(access_write1),
71
  .ack(access_ack1),
72
 
73
  .aceusb_a(aceusb_a_),
74
  .aceusb_d(aceusb_d_),
75
  .aceusb_oe_n(aceusb_oe_n_),
76
  .aceusb_we_n(aceusb_we_n_),
77
  .ace_mpce_n(ace_mpce_n_),
78
  .usb_cs_n(usb_cs_n_),
79
  .usb_hpi_reset_n(usb_hpi_reset_n_)
80
);
81
 
82
/* Synchronize read, write and acknowledgement pulses */
83
reg access_read;
84
reg access_write;
85
wire access_ack;
86
wire op;
87
 
88
aceusb_sync sync_read(
89
  .clk0(wb_clk_i),
90
  .flagi(access_read),
91
 
92
  .clk1(ace_clkin_),
93
  .flago(access_read1)
94
);
95
 
96
aceusb_sync sync_write(
97
  .clk0(wb_clk_i),
98
  .flagi(access_write),
99
 
100
  .clk1(ace_clkin_),
101
  .flago(access_write1)
102
);
103
 
104
aceusb_sync sync_ack(
105
  .clk0(ace_clkin_),
106
  .flagi(access_ack1),
107
 
108
  .clk1(wb_clk_i),
109
  .flago(access_ack)
110
);
111
 
112
/* Main FSM */
113
 
114 53 zeus
reg  state;
115
reg  next_state;
116 52 zeus
 
117
localparam
118 53 zeus
  IDLE = 1'd0,
119
  WAIT = 1'd1;
120 52 zeus
 
121
  assign op = wb_cyc_i & wb_stb_i;
122
 
123
always @(posedge wb_clk_i) begin
124
  if(wb_rst_i)
125
    state <= IDLE;
126
  else
127
    state <= next_state;
128
end
129
 
130
always @(state or op or wb_we_i or access_ack) begin
131
  load_adr_dat = 1'b0;
132
  wb_ack_o = 1'b0;
133
  access_read = 1'b0;
134
  access_write = 1'b0;
135
 
136
  next_state = state;
137
 
138
  case(state)
139
    IDLE: begin
140
      if(op) begin
141
        load_adr_dat = 1'b1;
142
        if(wb_we_i)
143
          access_write = 1'b1;
144
        else
145
          access_read = 1'b1;
146
        next_state = WAIT;
147
      end
148
    end
149
 
150
    WAIT: begin
151
      if(access_ack) begin
152
        wb_ack_o = 1'b1;
153
        access_write = 1'b0;
154
        load_adr_dat = 1'b0;
155
        access_read = 1'b0;
156
        next_state = IDLE;
157
      end
158
    end
159
  endcase
160
end
161
 
162
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.