OpenCores
URL https://opencores.org/ocsvn/zipcpu/zipcpu/trunk

Subversion Repositories zipcpu

[/] [zipcpu/] [trunk/] [rtl/] [core/] [memops.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dgisselq
module  memops(i_clk, i_rst, i_stb,
2
                i_op, i_addr, i_data, i_oreg,
3
                        o_busy, o_valid, o_wreg, o_result,
4
                o_wb_cyc, o_wb_stb, o_wb_we, o_wb_addr, o_wb_data,
5
                i_wb_ack, i_wb_stall, i_wb_data);
6
        input                   i_clk, i_rst;
7
        input                   i_stb;
8
        // CPU interface
9
        input                   i_op;
10
        input           [31:0]   i_addr;
11
        input           [31:0]   i_data;
12
        input           [4:0]    i_oreg;
13
        // CPU outputs
14
        output  wire            o_busy;
15
        output  reg             o_valid;
16
        output  reg     [4:0]    o_wreg;
17
        output  reg     [31:0]   o_result;
18
        // Wishbone outputs
19
        output  reg             o_wb_cyc, o_wb_stb, o_wb_we;
20
        output  reg     [31:0]   o_wb_addr, o_wb_data;
21
        // Wishbone inputs
22
        input                   i_wb_ack, i_wb_stall;
23
        input           [31:0]   i_wb_data;
24
 
25
        always @(posedge i_clk)
26
                if (i_rst)
27
                        o_wb_cyc <= 1'b0;
28
                else if (o_wb_cyc)
29
                begin
30
                        o_wb_stb <= (o_wb_stb)&&(i_wb_stall);
31
                        o_wb_cyc <= (~i_wb_ack);
32
                end else if (i_stb) // New memory operation
33
                begin
34
                        // Grab the wishbone
35
                        o_wb_cyc  <= 1'b1;
36
                        o_wb_stb  <= 1'b1;
37
                        o_wb_we   <= i_op;
38
                        o_wb_data <= i_data;
39
                        o_wb_addr <= i_addr;
40
                end
41
 
42
        initial o_valid = 1'b0;
43
        always @(posedge i_clk)
44
                o_valid <= (o_wb_cyc)&&(i_wb_ack)&&(~o_wb_we)&&(~i_rst);
45
        assign  o_busy = o_wb_cyc;
46
 
47
        always @(posedge i_clk)
48
                if ((i_stb)&&(~o_wb_cyc))
49
                        o_wreg    <= i_oreg;
50
        always @(posedge i_clk)
51
                if ((o_wb_cyc)&&(i_wb_ack))
52
                        o_result <= i_wb_data;
53
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.