OpenCores
URL https://opencores.org/ocsvn/zipcpu/zipcpu/trunk

Subversion Repositories zipcpu

[/] [zipcpu/] [trunk/] [rtl/] [core/] [memops.v] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dgisselq
///////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    memops.v
4
//
5
// Project:     Zip CPU -- a small, lightweight, RISC CPU soft core
6
//
7
// Purpose:     A memory unit to support a CPU.
8
//
9
//      In the interests of code simplicity, this memory operator is 
10
//      susceptible to unknown results should a new command be sent to it
11
//      before it completes the last one.  Unpredictable results might then
12
//      occurr.
13
//
14 36 dgisselq
//      20150919 -- Added support for handling BUS ERR's (i.e., the WB
15
//              error signal).
16
//
17 3 dgisselq
// Creator:     Dan Gisselquist, Ph.D.
18
//              Gisselquist Tecnology, LLC
19
//
20
///////////////////////////////////////////////////////////////////////////
21
//
22
// Copyright (C) 2015, Gisselquist Technology, LLC
23
//
24
// This program is free software (firmware): you can redistribute it and/or
25
// modify it under the terms of  the GNU General Public License as published
26
// by the Free Software Foundation, either version 3 of the License, or (at
27
// your option) any later version.
28
//
29
// This program is distributed in the hope that it will be useful, but WITHOUT
30
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
31
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
32
// for more details.
33
//
34
// License:     GPL, v3, as defined and found on www.gnu.org,
35
//              http://www.gnu.org/licenses/gpl.html
36
//
37
//
38
///////////////////////////////////////////////////////////////////////////
39
//
40 2 dgisselq
module  memops(i_clk, i_rst, i_stb,
41
                i_op, i_addr, i_data, i_oreg,
42 36 dgisselq
                        o_busy, o_valid, o_err, o_wreg, o_result,
43
                o_wb_cyc_gbl, o_wb_cyc_lcl,
44
                        o_wb_stb_gbl, o_wb_stb_lcl,
45
                        o_wb_we, o_wb_addr, o_wb_data,
46
                i_wb_ack, i_wb_stall, i_wb_err, i_wb_data);
47 2 dgisselq
        input                   i_clk, i_rst;
48
        input                   i_stb;
49
        // CPU interface
50
        input                   i_op;
51
        input           [31:0]   i_addr;
52
        input           [31:0]   i_data;
53
        input           [4:0]    i_oreg;
54
        // CPU outputs
55
        output  wire            o_busy;
56
        output  reg             o_valid;
57 36 dgisselq
        output  reg             o_err;
58 2 dgisselq
        output  reg     [4:0]    o_wreg;
59
        output  reg     [31:0]   o_result;
60
        // Wishbone outputs
61 36 dgisselq
        output  reg             o_wb_cyc_gbl, o_wb_stb_gbl;
62
        output  reg             o_wb_cyc_lcl, o_wb_stb_lcl, o_wb_we;
63 2 dgisselq
        output  reg     [31:0]   o_wb_addr, o_wb_data;
64
        // Wishbone inputs
65 36 dgisselq
        input                   i_wb_ack, i_wb_stall, i_wb_err;
66 2 dgisselq
        input           [31:0]   i_wb_data;
67
 
68 36 dgisselq
        wire    gbl_stb, lcl_stb;
69
        assign  lcl_stb = (i_stb)&&(i_addr[31:8]==24'hc00000)&&(i_addr[7:5]==3'h0);
70
        assign  gbl_stb = (i_stb)&&((i_addr[31:8]!=24'hc00000)||(i_addr[7:5]!=3'h0));
71
 
72 2 dgisselq
        always @(posedge i_clk)
73
                if (i_rst)
74 36 dgisselq
                begin
75
                        o_wb_cyc_gbl <= 1'b0;
76
                        o_wb_cyc_lcl <= 1'b0;
77
                end else if ((o_wb_cyc_gbl)||(o_wb_cyc_lcl))
78
                begin
79
                        if ((i_wb_ack)||(i_wb_err))
80
                        begin
81
                                o_wb_cyc_gbl <= 1'b0;
82
                                o_wb_cyc_lcl <= 1'b0;
83
                        end
84
                end else if (i_stb) // New memory operation
85
                begin // Grab the wishbone
86
                        o_wb_cyc_lcl <= lcl_stb;
87
                        o_wb_cyc_gbl <= gbl_stb;
88
                end
89 3 dgisselq
        always @(posedge i_clk)
90 36 dgisselq
                if (o_wb_cyc_gbl)
91
                        o_wb_stb_gbl <= (o_wb_stb_gbl)&&(i_wb_stall);
92 3 dgisselq
                else
93 36 dgisselq
                        o_wb_stb_gbl <= gbl_stb; // Grab wishbone on new operation
94 3 dgisselq
        always @(posedge i_clk)
95 36 dgisselq
                if (o_wb_cyc_lcl)
96
                        o_wb_stb_lcl <= (o_wb_stb_lcl)&&(i_wb_stall);
97
                else
98
                        o_wb_stb_lcl  <= lcl_stb; // Grab wishbone on new operation
99
        always @(posedge i_clk)
100 3 dgisselq
                if (i_stb)
101
                begin
102 2 dgisselq
                        o_wb_we   <= i_op;
103
                        o_wb_data <= i_data;
104
                        o_wb_addr <= i_addr;
105
                end
106
 
107
        initial o_valid = 1'b0;
108
        always @(posedge i_clk)
109 36 dgisselq
                o_valid <= ((o_wb_cyc_gbl)||(o_wb_cyc_lcl))&&(i_wb_ack)&&(~o_wb_we);
110
        initial o_err = 1'b0;
111
        always @(posedge i_clk)
112
                o_err <= ((o_wb_cyc_gbl)||(o_wb_cyc_lcl))&&(i_wb_err);
113
        assign  o_busy = (o_wb_cyc_gbl)||(o_wb_cyc_lcl);
114 2 dgisselq
 
115
        always @(posedge i_clk)
116 3 dgisselq
                if (i_stb)
117 2 dgisselq
                        o_wreg    <= i_oreg;
118
        always @(posedge i_clk)
119 3 dgisselq
                if (i_wb_ack)
120 2 dgisselq
                        o_result <= i_wb_data;
121
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.