OpenCores
URL https://opencores.org/ocsvn/zipcpu/zipcpu/trunk

Subversion Repositories zipcpu

[/] [zipcpu/] [trunk/] [rtl/] [zipsystem.v] - Blame information for rev 160

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dgisselq
///////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    zipsystem.v
4
//
5
// Project:     Zip CPU -- a small, lightweight, RISC CPU soft core
6
//
7
// Purpose:     This portion of the ZIP CPU implements a number of soft
8
//              peripherals to the CPU nearby its CORE.  The functionality
9
//              sits on the data bus, and does not include any true
10
//              external hardware peripherals.  The peripherals included here
11
//              include:
12
//
13
//
14
//      Local interrupt controller--for any/all of the interrupts generated
15
//              here.  This would include a pin for interrupts generated
16
//              elsewhere, so this interrupt controller could be a master
17
//              handling all interrupts.  My interrupt controller would work
18
//              for this purpose.
19
//
20
//              The ZIP-CPU supports only one interrupt because, as I understand
21
//              modern systems (Linux), they tend to send all interrupts to the
22
//              same interrupt vector anyway.  Hence, that's what we do here.
23
//
24
//      Bus Error interrupts -- generates an interrupt any time the wishbone
25
//              bus produces an error on a given access, for whatever purpose
26
//              also records the address on the bus at the time of the error.
27
//
28
//      Trap instructions
29
//              Writing to this "register" will always create an interrupt.
30
//              After the interrupt, this register may be read to see what
31
//              value had been written to it.
32
//
33
//      Bit reverse register ... ?
34
//
35
//      (Potentially an eventual floating point co-processor ...)
36
//
37
//      Real-time clock
38
//
39
//      Interval timer(s) (Count down from fixed value, and either stop on
40
//              zero, or issue an interrupt and restart automatically on zero)
41
//              These can be implemented as watchdog timers if desired--the
42
//              only difference is that a watchdog timer's interrupt feeds the
43
//              reset line instead of the processor interrupt line.
44
//
45
//      Watch-dog timer: this is the same as an interval timer, only it's
46
//              interrupt/time-out line is wired to the reset line instead of
47
//              the interrupt line of the CPU.
48
//
49
//      ROM Memory map
50
//              Set a register to control this map, and a DMA will begin to
51
//              fill this memory from a slower FLASH.  Once filled, accesses
52
//              will be from this memory instead of 
53
//
54
//
55
//      Doing some market comparison, let's look at what peripherals a TI
56
//      MSP430 might offer: MSP's may have I2C ports, SPI, UART, DMA, ADC,
57
//      Comparators, 16,32-bit timers, 16x16 or 32x32 timers, AES, BSL,
58
//      brown-out-reset(s), real-time-clocks, temperature sensors, USB ports,
59
//      Spi-Bi-Wire, UART Boot-strap Loader (BSL), programmable digital I/O,
60
//      watchdog-timers,
61
//
62
// Creator:     Dan Gisselquist, Ph.D.
63 69 dgisselq
//              Gisselquist Technology, LLC
64 2 dgisselq
//
65
///////////////////////////////////////////////////////////////////////////
66
//
67 160 dgisselq
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
68 2 dgisselq
//
69
// This program is free software (firmware): you can redistribute it and/or
70
// modify it under the terms of  the GNU General Public License as published
71
// by the Free Software Foundation, either version 3 of the License, or (at
72
// your option) any later version.
73
//
74
// This program is distributed in the hope that it will be useful, but WITHOUT
75
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
76
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
77
// for more details.
78
//
79
// License:     GPL, v3, as defined and found on www.gnu.org,
80
//              http://www.gnu.org/licenses/gpl.html
81
//
82
//
83
///////////////////////////////////////////////////////////////////////////
84
//
85 66 dgisselq
`include "cpudefs.v"
86
//
87 36 dgisselq
// While I hate adding delays to any bus access, this next delay is required
88 3 dgisselq
// to make timing close in my Basys-3 design.
89
`define DELAY_DBG_BUS
90 36 dgisselq
// On my previous version, I needed to add a delay to access the external
91
// bus.  Activate the define below and that delay will be put back into place.
92
// This particular version no longer needs the delay in order to run at 
93
// 100 MHz.  Timing indicates I may even run this at 250 MHz without the
94
// delay too, so we're doing better.  To get rid of this, I placed the logic
95
// determining whether or not I was accessing the local system bus one clock
96
// earlier, or into the memops.v file.  This also required my wishbone bus
97
// arbiter to maintain the bus selection as well, so that got updated ...
98
// you get the picture.  But, the bottom line is that I no longer need this
99
// delay.
100 3 dgisselq
//
101 56 dgisselq
// `define      DELAY_EXT_BUS   // Required no longer!
102 3 dgisselq
//
103 36 dgisselq
//
104
// If space is tight, you might not wish to have your performance and
105
// accounting counters, so let's make those optional here
106
//      Without this flag, Slice LUT count is 3315 (ZipSystem),2432 (ZipCPU)
107
//      When including counters, 
108
//              Slice LUTs      ZipSystem       ZipCPU
109
//      With Counters           3315            2432
110
//      Without Counters        2796            2046
111
 
112
//
113 3 dgisselq
// Now, where am I placing all of my peripherals?
114 2 dgisselq
`define PERIPHBASE      32'hc0000000
115 36 dgisselq
`define INTCTRL         5'h0    // 
116
`define WATCHDOG        5'h1    // Interrupt generates reset signal
117 56 dgisselq
`define BUSWATCHDOG     5'h2    // Sets IVEC[0]
118 36 dgisselq
`define CTRINT          5'h3    // Sets IVEC[5]
119
`define TIMER_A         5'h4    // Sets IVEC[4]
120
`define TIMER_B         5'h5    // Sets IVEC[3]
121
`define TIMER_C         5'h6    // Sets IVEC[2]
122
`define JIFFIES         5'h7    // Sets IVEC[1]
123 2 dgisselq
 
124
 
125 36 dgisselq
`ifdef  INCLUDE_ACCOUNTING_COUNTERS
126
`define MSTR_TASK_CTR   5'h08
127
`define MSTR_MSTL_CTR   5'h09
128
`define MSTR_PSTL_CTR   5'h0a
129
`define MSTR_INST_CTR   5'h0b
130
`define USER_TASK_CTR   5'h0c
131
`define USER_MSTL_CTR   5'h0d
132
`define USER_PSTL_CTR   5'h0e
133
`define USER_INST_CTR   5'h0f
134
`endif
135
 
136
// Although I have a hole at 5'h2, the DMA controller requires four wishbone
137
// addresses, therefore we place it by itself and expand our address bus
138
// width here by another bit.
139
`define DMAC            5'h10
140
 
141 2 dgisselq
// `define      RTC_CLOCK       32'hc0000008    // A global something
142
// `define      BITREV          32'hc0000003
143
//
144
//      DBGCTRL
145
//              10 HALT
146
//               9 HALT(ED)
147
//               8 STEP (W=1 steps, and returns to halted)
148
//               7 INTERRUPT-FLAG
149
//               6 RESET_FLAG
150
//              ADDRESS:
151
//               5      PERIPHERAL-BIT
152
//              [4:0]   REGISTER-ADDR
153
//      DBGDATA
154
//              read/writes internal registers
155 66 dgisselq
//
156
//
157
//
158 2 dgisselq
module  zipsystem(i_clk, i_rst,
159
                // Wishbone master interface from the CPU
160
                o_wb_cyc, o_wb_stb, o_wb_we, o_wb_addr, o_wb_data,
161 36 dgisselq
                        i_wb_ack, i_wb_stall, i_wb_data, i_wb_err,
162 2 dgisselq
                // Incoming interrupts
163
                i_ext_int,
164 18 dgisselq
                // Our one outgoing interrupt
165
                o_ext_int,
166 2 dgisselq
                // Wishbone slave interface for debugging purposes
167
                i_dbg_cyc, i_dbg_stb, i_dbg_we, i_dbg_addr, i_dbg_data,
168 66 dgisselq
                        o_dbg_ack, o_dbg_stall, o_dbg_data
169
`ifdef  DEBUG_SCOPE
170
                , o_cpu_debug
171
`endif
172
                );
173 48 dgisselq
        parameter       RESET_ADDRESS=24'h0100000, ADDRESS_WIDTH=24,
174 84 dgisselq
                        LGICACHE=10, START_HALTED=1, EXTERNAL_INTERRUPTS=1,
175 69 dgisselq
`ifdef  OPT_MULTIPLY
176 160 dgisselq
                        IMPLEMENT_MPY = `OPT_MULTIPLY,
177 69 dgisselq
`else
178
                        IMPLEMENT_MPY = 0,
179
`endif
180
`ifdef  OPT_DIVIDE
181
                        IMPLEMENT_DIVIDE=1,
182
`else
183
                        IMPLEMENT_DIVIDE=0,
184
`endif
185
`ifdef  OPT_IMPLEMENT_FPU
186 71 dgisselq
                        IMPLEMENT_FPU=1,
187
`else
188 69 dgisselq
                        IMPLEMENT_FPU=0,
189
`endif
190
                        IMPLEMENT_LOCK=1,
191 48 dgisselq
                        // Derived parameters
192
                        AW=ADDRESS_WIDTH;
193 2 dgisselq
        input   i_clk, i_rst;
194
        // Wishbone master
195
        output  wire            o_wb_cyc, o_wb_stb, o_wb_we;
196 48 dgisselq
        output  wire    [(AW-1):0]       o_wb_addr;
197 2 dgisselq
        output  wire    [31:0]   o_wb_data;
198
        input                   i_wb_ack, i_wb_stall;
199
        input           [31:0]   i_wb_data;
200 36 dgisselq
        input                   i_wb_err;
201 2 dgisselq
        // Incoming interrupts
202 34 dgisselq
        input           [(EXTERNAL_INTERRUPTS-1):0]      i_ext_int;
203 18 dgisselq
        // Outgoing interrupt
204
        output  wire            o_ext_int;
205 2 dgisselq
        // Wishbone slave
206
        input                   i_dbg_cyc, i_dbg_stb, i_dbg_we, i_dbg_addr;
207
        input           [31:0]   i_dbg_data;
208
        output  wire            o_dbg_ack;
209
        output  wire            o_dbg_stall;
210
        output  wire    [31:0]   o_dbg_data;
211 56 dgisselq
        //
212 66 dgisselq
`ifdef  DEBUG_SCOPE
213 56 dgisselq
        output  wire    [31:0]   o_cpu_debug;
214 66 dgisselq
`endif
215 2 dgisselq
 
216
        wire    [31:0]   ext_idata;
217
 
218 69 dgisselq
        // Handle our interrupt vector generation/coordination
219
        wire    [14:0]   main_int_vector, alt_int_vector;
220
        wire            ctri_int, tma_int, tmb_int, tmc_int, jif_int, dmac_int;
221
        wire            mtc_int, moc_int, mpc_int, mic_int,
222
                        utc_int, uoc_int, upc_int, uic_int;
223
        generate
224
        if (EXTERNAL_INTERRUPTS < 9)
225
                assign  main_int_vector = { {(9-EXTERNAL_INTERRUPTS){1'b0}},
226
                                        i_ext_int, ctri_int,
227
                                        tma_int, tmb_int, tmc_int,
228
                                        jif_int, dmac_int };
229
        else
230
                assign  main_int_vector = { i_ext_int[8:0], ctri_int,
231
                                        tma_int, tmb_int, tmc_int,
232
                                        jif_int, dmac_int };
233
        endgenerate
234
        generate
235
        if (EXTERNAL_INTERRUPTS <= 9)
236
`ifdef  INCLUDE_ACCOUNTING_COUNTERS
237
                assign  alt_int_vector = { 7'h00,
238
                                        mtc_int, moc_int, mpc_int, mic_int,
239
                                        utc_int, uoc_int, upc_int, uic_int };
240
`else
241
                assign  alt_int_vector = { 15'h00 };
242
`endif
243
        else
244
`ifdef  INCLUDE_ACCOUNTING_COUNTERS
245
                assign  alt_int_vector = { {(7-(EXTERNAL_INTERRUPTS-9)){1'b0}},
246
                                        i_ext_int[(EXTERNAL_INTERRUPTS-1):9],
247
                                        mtc_int, moc_int, mpc_int, mic_int,
248
                                        utc_int, uoc_int, upc_int, uic_int };
249
`else
250
                assign  alt_int_vector = { {(15-(EXTERNAL_INTERRUPTS-9)){1'b0}},
251
                                        i_ext_int[(EXTERNAL_INTERRUPTS-1):9] };
252
`endif
253
        endgenerate
254
 
255
 
256 2 dgisselq
        // Delay the debug port by one clock, to meet timing requirements
257
        wire            dbg_cyc, dbg_stb, dbg_we, dbg_addr, dbg_stall;
258
        wire    [31:0]   dbg_idata, dbg_odata;
259
        reg             dbg_ack;
260 3 dgisselq
`ifdef  DELAY_DBG_BUS
261 36 dgisselq
        wire            dbg_err, no_dbg_err;
262
        assign          dbg_err = 1'b0;
263 2 dgisselq
        busdelay #(1,32) wbdelay(i_clk,
264
                i_dbg_cyc, i_dbg_stb, i_dbg_we, i_dbg_addr, i_dbg_data,
265 36 dgisselq
                        o_dbg_ack, o_dbg_stall, o_dbg_data, no_dbg_err,
266 2 dgisselq
                dbg_cyc, dbg_stb, dbg_we, dbg_addr, dbg_idata,
267 36 dgisselq
                        dbg_ack, dbg_stall, dbg_odata, dbg_err);
268 3 dgisselq
`else
269
        assign  dbg_cyc     = i_dbg_cyc;
270
        assign  dbg_stb     = i_dbg_stb;
271
        assign  dbg_we      = i_dbg_we;
272
        assign  dbg_addr    = i_dbg_addr;
273
        assign  dbg_idata   = i_dbg_data;
274
        assign  o_dbg_ack   = dbg_ack;
275
        assign  o_dbg_stall = dbg_stall;
276
        assign  o_dbg_data  = dbg_odata;
277
`endif
278 2 dgisselq
 
279
        // 
280
        //
281
        //
282
        wire    sys_cyc, sys_stb, sys_we;
283 36 dgisselq
        wire    [4:0]    sys_addr;
284 48 dgisselq
        wire    [(AW-1):0]       cpu_addr;
285 2 dgisselq
        wire    [31:0]   sys_data;
286 36 dgisselq
        wire            sys_ack, sys_stall;
287 2 dgisselq
 
288
        //
289
        // The external debug interface
290
        //
291
        // We offer only a limited interface here, requiring a pre-register
292
        // write to set the local address.  This interface allows access to
293
        // the Zip System on a debug basis only, and not to the rest of the
294
        // wishbone bus.  Further, to access these registers, the control
295
        // register must first be accessed to both stop the CPU and to 
296
        // set the following address in question.  Hence all accesses require
297
        // two accesses: write the address to the control register (and halt
298
        // the CPU if not halted), then read/write the data from the data
299
        // register.
300
        //
301 9 dgisselq
        wire            cpu_break, dbg_cmd_write;
302 18 dgisselq
        reg             cmd_reset, cmd_halt, cmd_step, cmd_clear_pf_cache;
303 2 dgisselq
        reg     [5:0]    cmd_addr;
304 56 dgisselq
        wire    [3:0]    cpu_dbg_cc;
305 9 dgisselq
        assign  dbg_cmd_write = (dbg_cyc)&&(dbg_stb)&&(dbg_we)&&(~dbg_addr);
306
        //
307 2 dgisselq
        initial cmd_reset = 1'b1;
308 9 dgisselq
        always @(posedge i_clk)
309
                cmd_reset <= ((dbg_cmd_write)&&(dbg_idata[6]));
310
        //
311 2 dgisselq
        initial cmd_halt  = 1'b1;
312
        always @(posedge i_clk)
313
                if (i_rst)
314 34 dgisselq
                        cmd_halt <= (START_HALTED == 1)? 1'b1 : 1'b0;
315 9 dgisselq
                else if (dbg_cmd_write)
316 36 dgisselq
                        cmd_halt <= ((dbg_idata[10])||(dbg_idata[8]));
317 9 dgisselq
                else if ((cmd_step)||(cpu_break))
318
                        cmd_halt  <= 1'b1;
319 18 dgisselq
 
320
        always @(posedge i_clk)
321 56 dgisselq
                cmd_clear_pf_cache = (~i_rst)&&(dbg_cmd_write)
322
                                        &&((dbg_idata[11])||(dbg_idata[6]));
323 9 dgisselq
        //
324
        initial cmd_step  = 1'b0;
325
        always @(posedge i_clk)
326
                cmd_step <= (dbg_cmd_write)&&(dbg_idata[8]);
327
        //
328
        always @(posedge i_clk)
329
                if (dbg_cmd_write)
330 2 dgisselq
                        cmd_addr <= dbg_idata[5:0];
331 9 dgisselq
 
332 2 dgisselq
        wire    cpu_reset;
333 36 dgisselq
        assign  cpu_reset = (cmd_reset)||(wdt_reset)||(i_rst);
334 2 dgisselq
 
335
        wire    cpu_halt, cpu_dbg_stall;
336 34 dgisselq
        assign  cpu_halt = (i_rst)||((cmd_halt)&&(~cmd_step));
337 2 dgisselq
        wire    [31:0]   pic_data;
338
        wire    [31:0]   cmd_data;
339 18 dgisselq
        // Values:
340
        //      0x0003f -> cmd_addr mask
341
        //      0x00040 -> reset
342 69 dgisselq
        //      0x00080 -> PIC interrrupt pending
343 18 dgisselq
        //      0x00100 -> cmd_step
344
        //      0x00200 -> cmd_stall
345
        //      0x00400 -> cmd_halt
346
        //      0x00800 -> cmd_clear_pf_cache
347
        //      0x01000 -> cc.sleep
348
        //      0x02000 -> cc.gie
349 69 dgisselq
        //      0x04000 -> External (PIC) interrupt line is high
350
        //      Other external interrupts follow
351
        generate
352
        if (EXTERNAL_INTERRUPTS < 16)
353
                assign  cmd_data = { {(16-EXTERNAL_INTERRUPTS){1'b0}},
354
                                        i_ext_int,
355
                                cpu_dbg_cc,     // 4 bits
356
                                1'b0, cmd_halt, (~cpu_dbg_stall), 1'b0,
357
                                pic_data[15], cpu_reset, cmd_addr };
358
        else
359
                assign  cmd_data = { i_ext_int[15:0], cpu_dbg_cc,
360
                                1'b0, cmd_halt, (~cpu_dbg_stall), 1'b0,
361
                                pic_data[15], cpu_reset, cmd_addr };
362
        endgenerate
363
 
364 38 dgisselq
        wire    cpu_gie;
365
        assign  cpu_gie = cpu_dbg_cc[1];
366 2 dgisselq
 
367
        //
368
        // The WATCHDOG Timer
369
        //
370
        wire            wdt_ack, wdt_stall, wdt_reset;
371
        wire    [31:0]   wdt_data;
372 160 dgisselq
        ziptimer #(32,31,0)
373
                watchdog(i_clk, cpu_reset, ~cmd_halt,
374 2 dgisselq
                        sys_cyc, ((sys_stb)&&(sys_addr == `WATCHDOG)), sys_we,
375
                                sys_data,
376
                        wdt_ack, wdt_stall, wdt_data, wdt_reset);
377
 
378
        //
379 56 dgisselq
        // Position two, a second watchdog timer--this time for the wishbone
380
        // bus, in order to tell/find wishbone bus lockups.  In its current
381
        // configuration, it cannot be configured and all bus accesses must
382
        // take less than the number written to this register.
383 2 dgisselq
        //
384 56 dgisselq
        reg     wdbus_ack;
385
        reg     [(AW-1):0]       r_wdbus_data;
386
        wire    [31:0]           wdbus_data;
387
        wire    [14:0]   wdbus_ignored_data;
388 69 dgisselq
        wire    reset_wdbus_timer, wdbus_int;
389 56 dgisselq
        assign  reset_wdbus_timer = ((o_wb_cyc)&&((o_wb_stb)||(i_wb_ack)));
390 69 dgisselq
        wbwatchdog #(14) watchbus(i_clk,(cpu_reset)||(reset_wdbus_timer),
391
                        o_wb_cyc, 14'h2000, wdbus_int);
392 56 dgisselq
        initial r_wdbus_data = 0;
393 36 dgisselq
        always @(posedge i_clk)
394 69 dgisselq
                if ((wdbus_int)||(cpu_ext_err))
395 56 dgisselq
                        r_wdbus_data = o_wb_addr;
396
        assign  wdbus_data = { {(32-AW){1'b0}}, r_wdbus_data };
397
        initial wdbus_ack = 1'b0;
398
        always @(posedge i_clk)
399
                wdbus_ack <= ((sys_cyc)&&(sys_stb)&&(sys_addr == 5'h02));
400
 
401 2 dgisselq
        // Counters -- for performance measurement and accounting
402
        //
403
        // Here's the stuff we'll be counting ....
404
        //
405 9 dgisselq
        wire            cpu_op_stall, cpu_pf_stall, cpu_i_count;
406 2 dgisselq
 
407 36 dgisselq
`ifdef  INCLUDE_ACCOUNTING_COUNTERS
408 2 dgisselq
        //
409
        // The master counters will, in general, not be reset.  They'll be used
410
        // for an overall counter.
411
        //
412
        // Master task counter
413 69 dgisselq
        wire            mtc_ack, mtc_stall;
414 2 dgisselq
        wire    [31:0]   mtc_data;
415 36 dgisselq
        zipcounter      mtask_ctr(i_clk, (~cpu_halt), sys_cyc,
416 2 dgisselq
                                (sys_stb)&&(sys_addr == `MSTR_TASK_CTR),
417
                                        sys_we, sys_data,
418
                                mtc_ack, mtc_stall, mtc_data, mtc_int);
419
 
420 9 dgisselq
        // Master Operand Stall counter
421 69 dgisselq
        wire            moc_ack, moc_stall;
422 9 dgisselq
        wire    [31:0]   moc_data;
423
        zipcounter      mmstall_ctr(i_clk,(cpu_op_stall), sys_cyc,
424 2 dgisselq
                                (sys_stb)&&(sys_addr == `MSTR_MSTL_CTR),
425
                                        sys_we, sys_data,
426 9 dgisselq
                                moc_ack, moc_stall, moc_data, moc_int);
427 2 dgisselq
 
428
        // Master PreFetch-Stall counter
429 69 dgisselq
        wire            mpc_ack, mpc_stall;
430 2 dgisselq
        wire    [31:0]   mpc_data;
431 9 dgisselq
        zipcounter      mpstall_ctr(i_clk,(cpu_pf_stall), sys_cyc,
432 2 dgisselq
                                (sys_stb)&&(sys_addr == `MSTR_PSTL_CTR),
433
                                        sys_we, sys_data,
434
                                mpc_ack, mpc_stall, mpc_data, mpc_int);
435
 
436 9 dgisselq
        // Master Instruction counter
437 69 dgisselq
        wire            mic_ack, mic_stall;
438 9 dgisselq
        wire    [31:0]   mic_data;
439
        zipcounter      mins_ctr(i_clk,(cpu_i_count), sys_cyc,
440 25 dgisselq
                                (sys_stb)&&(sys_addr == `MSTR_INST_CTR),
441 2 dgisselq
                                        sys_we, sys_data,
442 9 dgisselq
                                mic_ack, mic_stall, mic_data, mic_int);
443 2 dgisselq
 
444
        //
445
        // The user counters are different from those of the master.  They will
446
        // be reset any time a task is given control of the CPU.
447
        //
448
        // User task counter
449 69 dgisselq
        wire            utc_ack, utc_stall;
450 2 dgisselq
        wire    [31:0]   utc_data;
451 38 dgisselq
        zipcounter      utask_ctr(i_clk,(~cpu_halt)&&(cpu_gie), sys_cyc,
452 2 dgisselq
                                (sys_stb)&&(sys_addr == `USER_TASK_CTR),
453
                                        sys_we, sys_data,
454
                                utc_ack, utc_stall, utc_data, utc_int);
455
 
456 9 dgisselq
        // User Op-Stall counter
457 69 dgisselq
        wire            uoc_ack, uoc_stall;
458 9 dgisselq
        wire    [31:0]   uoc_data;
459 38 dgisselq
        zipcounter      umstall_ctr(i_clk,(cpu_op_stall)&&(cpu_gie), sys_cyc,
460 2 dgisselq
                                (sys_stb)&&(sys_addr == `USER_MSTL_CTR),
461
                                        sys_we, sys_data,
462 9 dgisselq
                                uoc_ack, uoc_stall, uoc_data, uoc_int);
463 2 dgisselq
 
464
        // User PreFetch-Stall counter
465 69 dgisselq
        wire            upc_ack, upc_stall;
466 2 dgisselq
        wire    [31:0]   upc_data;
467 38 dgisselq
        zipcounter      upstall_ctr(i_clk,(cpu_pf_stall)&&(cpu_gie), sys_cyc,
468 2 dgisselq
                                (sys_stb)&&(sys_addr == `USER_PSTL_CTR),
469
                                        sys_we, sys_data,
470
                                upc_ack, upc_stall, upc_data, upc_int);
471
 
472 9 dgisselq
        // User instruction counter
473 69 dgisselq
        wire            uic_ack, uic_stall;
474 9 dgisselq
        wire    [31:0]   uic_data;
475 38 dgisselq
        zipcounter      uins_ctr(i_clk,(cpu_i_count)&&(cpu_gie), sys_cyc,
476 25 dgisselq
                                (sys_stb)&&(sys_addr == `USER_INST_CTR),
477 2 dgisselq
                                        sys_we, sys_data,
478 9 dgisselq
                                uic_ack, uic_stall, uic_data, uic_int);
479 2 dgisselq
 
480
        // A little bit of pre-cleanup (actr = accounting counters)
481
        wire            actr_ack, actr_stall;
482
        wire    [31:0]   actr_data;
483 9 dgisselq
        assign  actr_ack = ((mtc_ack | moc_ack | mpc_ack | mic_ack)
484
                                |(utc_ack | uoc_ack | upc_ack | uic_ack));
485
        assign  actr_stall = ((mtc_stall | moc_stall | mpc_stall | mic_stall)
486
                                |(utc_stall | uoc_stall | upc_stall|uic_stall));
487 2 dgisselq
        assign  actr_data = ((mtc_ack) ? mtc_data
488 9 dgisselq
                                : ((moc_ack) ? moc_data
489 2 dgisselq
                                : ((mpc_ack) ? mpc_data
490 9 dgisselq
                                : ((mic_ack) ? mic_data
491 2 dgisselq
                                : ((utc_ack) ? utc_data
492 9 dgisselq
                                : ((uoc_ack) ? uoc_data
493 2 dgisselq
                                : ((upc_ack) ? upc_data
494 9 dgisselq
                                : uic_data)))))));
495 36 dgisselq
`else //        INCLUDE_ACCOUNTING_COUNTERS
496
        reg             actr_ack;
497
        wire            actr_stall;
498
        wire    [31:0]   actr_data;
499
        assign  actr_stall = 1'b0;
500
        assign  actr_data = 32'h0000;
501 2 dgisselq
 
502 36 dgisselq
        assign  mtc_int = 1'b0;
503
        assign  moc_int = 1'b0;
504
        assign  mpc_int = 1'b0;
505
        assign  mic_int = 1'b0;
506
        assign  utc_int = 1'b0;
507
        assign  uoc_int = 1'b0;
508
        assign  upc_int = 1'b0;
509
        assign  uic_int = 1'b0;
510
 
511
        always @(posedge i_clk)
512
                actr_ack <= (sys_stb)&&(sys_addr[4:3] == 2'b01);
513
`endif  //      INCLUDE_ACCOUNTING_COUNTERS
514
 
515
        //
516
        // The DMA Controller
517
        //
518 69 dgisselq
        wire            dmac_stb, dc_err;
519 36 dgisselq
        wire    [31:0]   dmac_data;
520
        wire            dmac_ack, dmac_stall;
521
        wire            dc_cyc, dc_stb, dc_we, dc_ack, dc_stall;
522 48 dgisselq
        wire    [31:0]   dc_data;
523
        wire    [(AW-1):0]       dc_addr;
524 36 dgisselq
        wire            cpu_gbl_cyc;
525
        assign  dmac_stb = (sys_stb)&&(sys_addr[4]);
526 56 dgisselq
`ifdef  INCLUDE_DMA_CONTROLLER
527 160 dgisselq
        wbdmac  #(AW) dma_controller(i_clk, cpu_reset,
528 36 dgisselq
                                sys_cyc, dmac_stb, sys_we,
529
                                        sys_addr[1:0], sys_data,
530
                                        dmac_ack, dmac_stall, dmac_data,
531
                                // Need the outgoing DMAC wishbone bus
532
                                dc_cyc, dc_stb, dc_we, dc_addr, dc_data,
533
                                        dc_ack, dc_stall, ext_idata, dc_err,
534
                                // External device interrupts
535 69 dgisselq
                                { 1'b0, alt_int_vector, 1'b0,
536
                                        main_int_vector[14:1], 1'b0 },
537 36 dgisselq
                                // DMAC interrupt, for upon completion
538 69 dgisselq
                                dmac_int);
539 56 dgisselq
`else
540
        reg     r_dmac_ack;
541
        always @(posedge i_clk)
542
                r_dmac_ack <= (sys_cyc)&&(dmac_stb);
543
        assign  dmac_ack = r_dmac_ack;
544
        assign  dmac_data = 32'h000;
545
        assign  dmac_stall = 1'b0;
546 2 dgisselq
 
547 56 dgisselq
        assign  dc_cyc  = 1'b0;
548
        assign  dc_stb  = 1'b0;
549
        assign  dc_we   = 1'b0;
550
        assign  dc_addr = { (AW) {1'b0} };
551
        assign  dc_data = 32'h00;
552
 
553
        assign  dmac_int = 1'b0;
554
`endif
555
 
556 71 dgisselq
        wire            ctri_sel, ctri_stall;
557
        reg             ctri_ack;
558
        wire    [31:0]   ctri_data;
559 69 dgisselq
        assign  ctri_sel = (sys_cyc)&&(sys_stb)&&(sys_addr == `CTRINT);
560
        always @(posedge i_clk)
561
                ctri_ack <= ctri_sel;
562 71 dgisselq
        assign  ctri_stall = 1'b0;
563 36 dgisselq
`ifdef  INCLUDE_ACCOUNTING_COUNTERS
564 2 dgisselq
        //
565
        // Counter Interrupt controller
566
        //
567 69 dgisselq
        generate
568
        if (EXTERNAL_INTERRUPTS <= 9)
569
        begin
570
                icontrol #(8)   ctri(i_clk, cpu_reset, (ctri_sel),
571
                                        sys_data, ctri_data, alt_int_vector[7:0],
572
                                        ctri_int);
573
        end else begin
574
                icontrol #(8+(EXTERNAL_INTERRUPTS-9))
575
                                ctri(i_clk, cpu_reset, (ctri_sel),
576
                                        sys_data, ctri_data,
577 115 dgisselq
                                        alt_int_vector[(EXTERNAL_INTERRUPTS-2):0],
578 69 dgisselq
                                        ctri_int);
579
        end endgenerate
580
 
581 36 dgisselq
`else   //      INCLUDE_ACCOUNTING_COUNTERS
582 2 dgisselq
 
583 69 dgisselq
        generate
584
        if (EXTERNAL_INTERRUPTS <= 9)
585
        begin
586
                assign  ctri_stall = 1'b0;
587
                assign  ctri_data  = 32'h0000;
588
                assign  ctri_int   = 1'b0;
589
        end else begin
590
                icontrol #(EXTERNAL_INTERRUPTS-9)
591
                                ctri(i_clk, cpu_reset, (ctri_sel),
592
                                        sys_data, ctri_data,
593
                                alt_int_vector[(EXTERNAL_INTERRUPTS-10):0],
594
                                        ctri_int);
595
        end endgenerate
596 36 dgisselq
`endif  //      INCLUDE_ACCOUNTING_COUNTERS
597 2 dgisselq
 
598 36 dgisselq
 
599 2 dgisselq
        //
600
        // Timer A
601
        //
602 69 dgisselq
        wire            tma_ack, tma_stall;
603 2 dgisselq
        wire    [31:0]   tma_data;
604
        ziptimer timer_a(i_clk, cpu_reset, ~cmd_halt,
605
                        sys_cyc, (sys_stb)&&(sys_addr == `TIMER_A), sys_we,
606
                                sys_data,
607
                        tma_ack, tma_stall, tma_data, tma_int);
608
 
609
        //
610
        // Timer B
611
        //
612 69 dgisselq
        wire            tmb_ack, tmb_stall;
613 2 dgisselq
        wire    [31:0]   tmb_data;
614
        ziptimer timer_b(i_clk, cpu_reset, ~cmd_halt,
615
                        sys_cyc, (sys_stb)&&(sys_addr == `TIMER_B), sys_we,
616
                                sys_data,
617
                        tmb_ack, tmb_stall, tmb_data, tmb_int);
618
 
619
        //
620
        // Timer C
621
        //
622 69 dgisselq
        wire            tmc_ack, tmc_stall;
623 2 dgisselq
        wire    [31:0]   tmc_data;
624
        ziptimer timer_c(i_clk, cpu_reset, ~cmd_halt,
625
                        sys_cyc, (sys_stb)&&(sys_addr == `TIMER_C), sys_we,
626
                                sys_data,
627
                        tmc_ack, tmc_stall, tmc_data, tmc_int);
628
 
629
        //
630
        // JIFFIES
631
        //
632 69 dgisselq
        wire            jif_ack, jif_stall;
633 2 dgisselq
        wire    [31:0]   jif_data;
634
        zipjiffies jiffies(i_clk, ~cmd_halt,
635
                        sys_cyc, (sys_stb)&&(sys_addr == `JIFFIES), sys_we,
636
                                sys_data,
637
                        jif_ack, jif_stall, jif_data, jif_int);
638
 
639
        //
640
        // The programmable interrupt controller peripheral
641
        //
642
        wire            pic_interrupt;
643 69 dgisselq
        generate
644
        if (EXTERNAL_INTERRUPTS < 9)
645
        begin
646
                icontrol #(6+EXTERNAL_INTERRUPTS)       pic(i_clk, cpu_reset,
647
                                        (sys_cyc)&&(sys_stb)&&(sys_we)
648
                                                &&(sys_addr==`INTCTRL),
649
                                        sys_data, pic_data,
650
                                        main_int_vector[(6+EXTERNAL_INTERRUPTS-1):0], pic_interrupt);
651
        end else begin
652
                icontrol #(15)  pic(i_clk, cpu_reset,
653
                                        (sys_cyc)&&(sys_stb)&&(sys_we)
654
                                                &&(sys_addr==`INTCTRL),
655
                                        sys_data, pic_data,
656
                                        main_int_vector[14:0], pic_interrupt);
657
        end endgenerate
658
 
659 36 dgisselq
        wire    pic_stall;
660
        assign  pic_stall = 1'b0;
661 2 dgisselq
        reg     pic_ack;
662
        always @(posedge i_clk)
663
                pic_ack <= (sys_cyc)&&(sys_stb)&&(sys_addr == `INTCTRL);
664
 
665
        //
666
        // The CPU itself
667
        //
668 36 dgisselq
        wire            cpu_gbl_stb, cpu_lcl_cyc, cpu_lcl_stb,
669
                        cpu_we, cpu_dbg_we;
670 2 dgisselq
        wire    [31:0]   cpu_data, wb_data;
671 36 dgisselq
        wire            cpu_ack, cpu_stall, cpu_err;
672 2 dgisselq
        wire    [31:0]   cpu_dbg_data;
673
        assign cpu_dbg_we = ((dbg_cyc)&&(dbg_stb)&&(~cmd_addr[5])
674
                                        &&(dbg_we)&&(dbg_addr));
675 160 dgisselq
        zipcpu  #(
676
                        .RESET_ADDRESS(RESET_ADDRESS),
677
                        .ADDRESS_WIDTH(ADDRESS_WIDTH),
678
                        .LGICACHE(LGICACHE),
679
                        .IMPLEMENT_MPY(IMPLEMENT_MPY),
680
                        .IMPLEMENT_DIVIDE(IMPLEMENT_DIVIDE),
681
                        .IMPLEMENT_FPU(IMPLEMENT_FPU),
682
                        .IMPLEMENT_LOCK(IMPLEMENT_LOCK)
683
                )
684 48 dgisselq
                thecpu(i_clk, cpu_reset, pic_interrupt,
685 18 dgisselq
                        cpu_halt, cmd_clear_pf_cache, cmd_addr[4:0], cpu_dbg_we,
686 2 dgisselq
                                dbg_idata, cpu_dbg_stall, cpu_dbg_data,
687 18 dgisselq
                                cpu_dbg_cc, cpu_break,
688 36 dgisselq
                        cpu_gbl_cyc, cpu_gbl_stb,
689
                                cpu_lcl_cyc, cpu_lcl_stb,
690
                                cpu_we, cpu_addr, cpu_data,
691 2 dgisselq
                                cpu_ack, cpu_stall, wb_data,
692 36 dgisselq
                                cpu_err,
693 66 dgisselq
                        cpu_op_stall, cpu_pf_stall, cpu_i_count
694
`ifdef  DEBUG_SCOPE
695
                        , o_cpu_debug
696
`endif
697
                        );
698 2 dgisselq
 
699
        // Now, arbitrate the bus ... first for the local peripherals
700 36 dgisselq
        // For the debugger to have access to the local system bus, the
701
        // following must be true:
702
        //      (dbg_cyc)       The debugger must request the bus
703
        //      (~cpu_lcl_cyc)  The CPU cannot be using it (CPU gets priority)
704
        //      (dbg_addr)      The debugger must be requesting its data
705
        //                              register, not just the control register
706
        // and one of two other things.  Either
707
        //      ((cpu_halt)&&(~cpu_dbg_stall))  the CPU is completely halted,
708
        // or
709
        //      (~cmd_addr[5])          we are trying to read a CPU register
710
        //                      while in motion.  Let the user beware that,
711
        //                      by not waiting for the CPU to fully halt,
712
        //                      his results may not be what he expects.
713
        //
714
        wire    sys_dbg_cyc = ((dbg_cyc)&&(~cpu_lcl_cyc)&&(dbg_addr))
715
                                &&(((cpu_halt)&&(~cpu_dbg_stall))
716
                                        ||(~cmd_addr[5]));
717
        assign  sys_cyc = (cpu_lcl_cyc)||(sys_dbg_cyc);
718
        assign  sys_stb = (cpu_lcl_cyc)
719
                                ? (cpu_lcl_stb)
720 2 dgisselq
                                : ((dbg_stb)&&(dbg_addr)&&(cmd_addr[5]));
721
 
722 36 dgisselq
        assign  sys_we  = (cpu_lcl_cyc) ? cpu_we : dbg_we;
723
        assign  sys_addr= (cpu_lcl_cyc) ? cpu_addr[4:0] : cmd_addr[4:0];
724
        assign  sys_data= (cpu_lcl_cyc) ? cpu_data : dbg_idata;
725 2 dgisselq
 
726
        // Return debug response values
727
        assign  dbg_odata = (~dbg_addr)?cmd_data
728
                                :((~cmd_addr[5])?cpu_dbg_data : wb_data);
729
        initial dbg_ack = 1'b0;
730
        always @(posedge i_clk)
731 160 dgisselq
                dbg_ack <= (dbg_cyc)&&(dbg_stb)&&(~dbg_stall);
732 36 dgisselq
        assign  dbg_stall=(dbg_cyc)&&((~sys_dbg_cyc)||(sys_stall))&&(dbg_addr);
733 2 dgisselq
 
734
        // Now for the external wishbone bus
735
        //      Need to arbitrate between the flash cache and the CPU
736
        // The way this works, though, the CPU will stall once the flash 
737
        // cache gets access to the bus--the CPU will be stuck until the 
738
        // flash cache is finished with the bus.
739 36 dgisselq
        wire            ext_cyc, ext_stb, ext_we, ext_err;
740
        wire            cpu_ext_ack, cpu_ext_stall, ext_ack, ext_stall,
741
                                cpu_ext_err;
742 48 dgisselq
        wire    [(AW-1):0]       ext_addr;
743
        wire    [31:0]           ext_odata;
744 56 dgisselq
        wbpriarbiter #(32,AW) dmacvcpu(i_clk,
745 36 dgisselq
                        cpu_gbl_cyc, cpu_gbl_stb, cpu_we, cpu_addr, cpu_data,
746
                                cpu_ext_ack, cpu_ext_stall, cpu_ext_err,
747
                        dc_cyc, dc_stb, dc_we, dc_addr, dc_data,
748
                                        dc_ack, dc_stall, dc_err,
749
                        ext_cyc, ext_stb, ext_we, ext_addr, ext_odata,
750
                                ext_ack, ext_stall, ext_err);
751 2 dgisselq
 
752 3 dgisselq
`ifdef  DELAY_EXT_BUS
753 48 dgisselq
        busdelay #(AW,32) extbus(i_clk,
754 2 dgisselq
                        ext_cyc, ext_stb, ext_we, ext_addr, ext_odata,
755 36 dgisselq
                                ext_ack, ext_stall, ext_idata, ext_err,
756 2 dgisselq
                        o_wb_cyc, o_wb_stb, o_wb_we, o_wb_addr, o_wb_data,
757 56 dgisselq
                                i_wb_ack, i_wb_stall, i_wb_data, (i_wb_err)||(wdbus_int));
758 3 dgisselq
`else
759
        assign  o_wb_cyc   = ext_cyc;
760
        assign  o_wb_stb   = ext_stb;
761
        assign  o_wb_we    = ext_we;
762
        assign  o_wb_addr  = ext_addr;
763
        assign  o_wb_data  = ext_odata;
764
        assign  ext_ack    = i_wb_ack;
765
        assign  ext_stall  = i_wb_stall;
766
        assign  ext_idata  = i_wb_data;
767 56 dgisselq
        assign  ext_err    = (i_wb_err)||(wdbus_int);
768 3 dgisselq
`endif
769 2 dgisselq
 
770
        wire            tmr_ack;
771
        assign  tmr_ack = (tma_ack|tmb_ack|tmc_ack|jif_ack);
772
        wire    [31:0]   tmr_data;
773
        assign  tmr_data = (tma_ack)?tma_data
774
                                :(tmb_ack ? tmb_data
775
                                :(tmc_ack ? tmc_data
776
                                :jif_data));
777
        assign  wb_data = (tmr_ack|wdt_ack)?((tmr_ack)?tmr_data:wdt_data)
778 36 dgisselq
                        :((actr_ack|dmac_ack)?((actr_ack)?actr_data:dmac_data)
779 2 dgisselq
                        :((pic_ack|ctri_ack)?((pic_ack)?pic_data:ctri_data)
780 56 dgisselq
                        :((wdbus_ack)?wdbus_data:(ext_idata))));
781 2 dgisselq
 
782 36 dgisselq
        assign  sys_stall = (tma_stall | tmb_stall | tmc_stall | jif_stall
783
                                | wdt_stall | ctri_stall | actr_stall
784 69 dgisselq
                                | pic_stall | dmac_stall);
785 36 dgisselq
        assign  cpu_stall = (sys_stall)|(cpu_ext_stall);
786 56 dgisselq
        assign  sys_ack = (tmr_ack|wdt_ack|ctri_ack|actr_ack|pic_ack|dmac_ack|wdbus_ack);
787 36 dgisselq
        assign  cpu_ack = (sys_ack)||(cpu_ext_ack);
788
        assign  cpu_err = (cpu_ext_err)&&(cpu_gbl_cyc);
789 18 dgisselq
 
790
        assign  o_ext_int = (cmd_halt) && (~cpu_stall);
791
 
792 2 dgisselq
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.