OpenCores
URL https://opencores.org/ocsvn/zpu/zpu/trunk

Subversion Repositories zpu

[/] [zpu/] [trunk/] [zpu/] [example/] [helloworld.vhd] - Blame information for rev 93

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 93 oharboe
-- ZPU
2
--
3
-- Copyright 2004-2008 oharboe - Øyvind Harboe - oyvind.harboe@zylin.com
4
-- 
5
-- The FreeBSD license
6
-- 
7
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions
9
-- are met:
10
-- 
11
-- 1. Redistributions of source code must retain the above copyright
12
--    notice, this list of conditions and the following disclaimer.
13
-- 2. Redistributions in binary form must reproduce the above
14
--    copyright notice, this list of conditions and the following
15
--    disclaimer in the documentation and/or other materials
16
--    provided with the distribution.
17
-- 
18
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
19
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
20
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
21
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
22
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
23
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
24
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
25
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
26
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
27
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
28
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
29
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
30
-- 
31
-- The views and conclusions contained in the software and documentation
32
-- are those of the authors and should not be interpreted as representing
33
-- official policies, either expressed or implied, of the ZPU Project.
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
 
40
library work;
41
use work.zpu_config.all;
42
use work.zpupkg.all;
43
 
44
entity dualport_ram is
45
port (clk : in std_logic;
46
        memAWriteEnable : in std_logic;
47
        memAAddr : in std_logic_vector(maxAddrBitBRAM downto minAddrBit);
48
        memAWrite : in std_logic_vector(wordSize-1 downto 0);
49
        memARead : out std_logic_vector(wordSize-1 downto 0);
50
        memBWriteEnable : in std_logic;
51
        memBAddr : in std_logic_vector(maxAddrBitBRAM downto minAddrBit);
52
        memBWrite : in std_logic_vector(wordSize-1 downto 0);
53
        memBRead : out std_logic_vector(wordSize-1 downto 0));
54
end dualport_ram;
55
 
56
architecture dualport_ram_arch of dualport_ram is
57
 
58
 
59
type ram_type is array(natural range 0 to ((2**(maxAddrBitBRAM+1))/4)-1) of std_logic_vector(wordSize-1 downto 0);
60
 
61
shared variable ram : ram_type :=
62
(
63
 
64
1 => x"82700b0b",
65
2 => x"80cfd80c",
66
3 => x"3a0b0b80",
67
4 => x"c6d00400",
68
5 => x"00000000",
69
6 => x"00000000",
70
7 => x"00000000",
71
8 => x"80088408",
72
9 => x"88080b0b",
73
10 => x"80c7972d",
74
11 => x"880c840c",
75
12 => x"800c0400",
76
13 => x"00000000",
77
14 => x"00000000",
78
15 => x"00000000",
79
16 => x"71fd0608",
80
17 => x"72830609",
81
18 => x"81058205",
82
19 => x"832b2a83",
83
20 => x"ffff0652",
84
21 => x"04000000",
85
22 => x"00000000",
86
23 => x"00000000",
87
24 => x"71fd0608",
88
25 => x"83ffff73",
89
26 => x"83060981",
90
27 => x"05820583",
91
28 => x"2b2b0906",
92
29 => x"7383ffff",
93
30 => x"0b0b0b0b",
94
31 => x"83a70400",
95
32 => x"72098105",
96
33 => x"72057373",
97
34 => x"09060906",
98
35 => x"73097306",
99
36 => x"070a8106",
100
37 => x"53510400",
101
38 => x"00000000",
102
39 => x"00000000",
103
40 => x"72722473",
104
41 => x"732e0753",
105
42 => x"51040000",
106
43 => x"00000000",
107
44 => x"00000000",
108
45 => x"00000000",
109
46 => x"00000000",
110
47 => x"00000000",
111
48 => x"71737109",
112
49 => x"71068106",
113
50 => x"30720a10",
114
51 => x"0a720a10",
115
52 => x"0a31050a",
116
53 => x"81065151",
117
54 => x"53510400",
118
55 => x"00000000",
119
56 => x"72722673",
120
57 => x"732e0753",
121
58 => x"51040000",
122
59 => x"00000000",
123
60 => x"00000000",
124
61 => x"00000000",
125
62 => x"00000000",
126
63 => x"00000000",
127
64 => x"00000000",
128
65 => x"00000000",
129
66 => x"00000000",
130
67 => x"00000000",
131
68 => x"00000000",
132
69 => x"00000000",
133
70 => x"00000000",
134
71 => x"00000000",
135
72 => x"0b0b0b88",
136
73 => x"c4040000",
137
74 => x"00000000",
138
75 => x"00000000",
139
76 => x"00000000",
140
77 => x"00000000",
141
78 => x"00000000",
142
79 => x"00000000",
143
80 => x"720a722b",
144
81 => x"0a535104",
145
82 => x"00000000",
146
83 => x"00000000",
147
84 => x"00000000",
148
85 => x"00000000",
149
86 => x"00000000",
150
87 => x"00000000",
151
88 => x"72729f06",
152
89 => x"0981050b",
153
90 => x"0b0b88a7",
154
91 => x"05040000",
155
92 => x"00000000",
156
93 => x"00000000",
157
94 => x"00000000",
158
95 => x"00000000",
159
96 => x"72722aff",
160
97 => x"739f062a",
161
98 => x"0974090a",
162
99 => x"8106ff05",
163
100 => x"06075351",
164
101 => x"04000000",
165
102 => x"00000000",
166
103 => x"00000000",
167
104 => x"71715351",
168
105 => x"020d0406",
169
106 => x"73830609",
170
107 => x"81058205",
171
108 => x"832b0b2b",
172
109 => x"0772fc06",
173
110 => x"0c515104",
174
111 => x"00000000",
175
112 => x"72098105",
176
113 => x"72050970",
177
114 => x"81050906",
178
115 => x"0a810653",
179
116 => x"51040000",
180
117 => x"00000000",
181
118 => x"00000000",
182
119 => x"00000000",
183
120 => x"72098105",
184
121 => x"72050970",
185
122 => x"81050906",
186
123 => x"0a098106",
187
124 => x"53510400",
188
125 => x"00000000",
189
126 => x"00000000",
190
127 => x"00000000",
191
128 => x"71098105",
192
129 => x"52040000",
193
130 => x"00000000",
194
131 => x"00000000",
195
132 => x"00000000",
196
133 => x"00000000",
197
134 => x"00000000",
198
135 => x"00000000",
199
136 => x"72720981",
200
137 => x"05055351",
201
138 => x"04000000",
202
139 => x"00000000",
203
140 => x"00000000",
204
141 => x"00000000",
205
142 => x"00000000",
206
143 => x"00000000",
207
144 => x"72097206",
208
145 => x"73730906",
209
146 => x"07535104",
210
147 => x"00000000",
211
148 => x"00000000",
212
149 => x"00000000",
213
150 => x"00000000",
214
151 => x"00000000",
215
152 => x"71fc0608",
216
153 => x"72830609",
217
154 => x"81058305",
218
155 => x"1010102a",
219
156 => x"81ff0652",
220
157 => x"04000000",
221
158 => x"00000000",
222
159 => x"00000000",
223
160 => x"71fc0608",
224
161 => x"0b0b80cf",
225
162 => x"c4738306",
226
163 => x"10100508",
227
164 => x"060b0b0b",
228
165 => x"88aa0400",
229
166 => x"00000000",
230
167 => x"00000000",
231
168 => x"80088408",
232
169 => x"88087575",
233
170 => x"0b0b0b8b",
234
171 => x"9f2d5050",
235
172 => x"80085688",
236
173 => x"0c840c80",
237
174 => x"0c510400",
238
175 => x"00000000",
239
176 => x"80088408",
240
177 => x"88087575",
241
178 => x"0b0b0b8b",
242
179 => x"e32d5050",
243
180 => x"80085688",
244
181 => x"0c840c80",
245
182 => x"0c510400",
246
183 => x"00000000",
247
184 => x"72097081",
248
185 => x"0509060a",
249
186 => x"8106ff05",
250
187 => x"70547106",
251
188 => x"73097274",
252
189 => x"05ff0506",
253
190 => x"07515151",
254
191 => x"04000000",
255
192 => x"72097081",
256
193 => x"0509060a",
257
194 => x"098106ff",
258
195 => x"05705471",
259
196 => x"06730972",
260
197 => x"7405ff05",
261
198 => x"06075151",
262
199 => x"51040000",
263
200 => x"05ff0504",
264
201 => x"00000000",
265
202 => x"00000000",
266
203 => x"00000000",
267
204 => x"00000000",
268
205 => x"00000000",
269
206 => x"00000000",
270
207 => x"00000000",
271
208 => x"810b0b0b",
272
209 => x"80cfd40c",
273
210 => x"51040000",
274
211 => x"00000000",
275
212 => x"00000000",
276
213 => x"00000000",
277
214 => x"00000000",
278
215 => x"00000000",
279
216 => x"71810552",
280
217 => x"04000000",
281
218 => x"00000000",
282
219 => x"00000000",
283
220 => x"00000000",
284
221 => x"00000000",
285
222 => x"00000000",
286
223 => x"00000000",
287
224 => x"00000000",
288
225 => x"00000000",
289
226 => x"00000000",
290
227 => x"00000000",
291
228 => x"00000000",
292
229 => x"00000000",
293
230 => x"00000000",
294
231 => x"00000000",
295
232 => x"02840572",
296
233 => x"10100552",
297
234 => x"04000000",
298
235 => x"00000000",
299
236 => x"00000000",
300
237 => x"00000000",
301
238 => x"00000000",
302
239 => x"00000000",
303
240 => x"00000000",
304
241 => x"00000000",
305
242 => x"00000000",
306
243 => x"00000000",
307
244 => x"00000000",
308
245 => x"00000000",
309
246 => x"00000000",
310
247 => x"00000000",
311
248 => x"717105ff",
312
249 => x"05715351",
313
250 => x"020d0400",
314
251 => x"00000000",
315
252 => x"00000000",
316
253 => x"00000000",
317
254 => x"00000000",
318
255 => x"00000000",
319
256 => x"82c53f80",
320
257 => x"c6d93f04",
321
258 => x"10101010",
322
259 => x"10101010",
323
260 => x"10101010",
324
261 => x"10101010",
325
262 => x"10101010",
326
263 => x"10101010",
327
264 => x"10101010",
328
265 => x"10101053",
329
266 => x"51047381",
330
267 => x"ff067383",
331
268 => x"06098105",
332
269 => x"83051010",
333
270 => x"102b0772",
334
271 => x"fc060c51",
335
272 => x"51043c04",
336
273 => x"72728072",
337
274 => x"8106ff05",
338
275 => x"09720605",
339
276 => x"71105272",
340
277 => x"0a100a53",
341
278 => x"72ed3851",
342
279 => x"51535104",
343
280 => x"fe3d0d0b",
344
281 => x"0b80dfc0",
345
282 => x"08538413",
346
283 => x"0870882a",
347
284 => x"70810651",
348
285 => x"52527080",
349
286 => x"2ef03871",
350
287 => x"81ff0680",
351
288 => x"0c843d0d",
352
289 => x"04ff3d0d",
353
290 => x"0b0b80df",
354
291 => x"c0085271",
355
292 => x"0870882a",
356
293 => x"81327081",
357
294 => x"06515151",
358
295 => x"70f13873",
359
296 => x"720c833d",
360
297 => x"0d0480cf",
361
298 => x"d408802e",
362
299 => x"a43880cf",
363
300 => x"d808822e",
364
301 => x"bd388380",
365
302 => x"800b0b0b",
366
303 => x"80dfc00c",
367
304 => x"82a0800b",
368
305 => x"80dfc40c",
369
306 => x"8290800b",
370
307 => x"80dfc80c",
371
308 => x"04f88080",
372
309 => x"80a40b0b",
373
310 => x"0b80dfc0",
374
311 => x"0cf88080",
375
312 => x"82800b80",
376
313 => x"dfc40cf8",
377
314 => x"80808480",
378
315 => x"0b80dfc8",
379
316 => x"0c0480c0",
380
317 => x"a8808c0b",
381
318 => x"0b0b80df",
382
319 => x"c00c80c0",
383
320 => x"a880940b",
384
321 => x"80dfc40c",
385
322 => x"0b0b80cf",
386
323 => x"8c0b80df",
387
324 => x"c80c0470",
388
325 => x"7080dfcc",
389
326 => x"335170a7",
390
327 => x"3880cfe0",
391
328 => x"08700852",
392
329 => x"5270802e",
393
330 => x"94388412",
394
331 => x"80cfe00c",
395
332 => x"702d80cf",
396
333 => x"e0087008",
397
334 => x"525270ee",
398
335 => x"38810b80",
399
336 => x"dfcc3450",
400
337 => x"50040470",
401
338 => x"0b0b80df",
402
339 => x"bc08802e",
403
340 => x"8e380b0b",
404
341 => x"0b0b800b",
405
342 => x"802e0981",
406
343 => x"06833850",
407
344 => x"040b0b80",
408
345 => x"dfbc510b",
409
346 => x"0b0bf594",
410
347 => x"3f500404",
411
348 => x"fe3d0d89",
412
349 => x"5380cf90",
413
350 => x"5182c13f",
414
351 => x"80cfa051",
415
352 => x"82ba3f81",
416
353 => x"0a0b80df",
417
354 => x"d80cff0b",
418
355 => x"80dfdc0c",
419
356 => x"ff135372",
420
357 => x"8025de38",
421
358 => x"72800c84",
422
359 => x"3d0d04fb",
423
360 => x"3d0d7779",
424
361 => x"55558056",
425
362 => x"757524ab",
426
363 => x"38807424",
427
364 => x"9d388053",
428
365 => x"73527451",
429
366 => x"80e13f80",
430
367 => x"08547580",
431
368 => x"2e853880",
432
369 => x"08305473",
433
370 => x"800c873d",
434
371 => x"0d047330",
435
372 => x"76813257",
436
373 => x"54dc3974",
437
374 => x"30558156",
438
375 => x"738025d2",
439
376 => x"38ec39fa",
440
377 => x"3d0d787a",
441
378 => x"57558057",
442
379 => x"767524a4",
443
380 => x"38759f2c",
444
381 => x"54815375",
445
382 => x"74327431",
446
383 => x"5274519b",
447
384 => x"3f800854",
448
385 => x"76802e85",
449
386 => x"38800830",
450
387 => x"5473800c",
451
388 => x"883d0d04",
452
389 => x"74305581",
453
390 => x"57d739fc",
454
391 => x"3d0d7678",
455
392 => x"53548153",
456
393 => x"80747326",
457
394 => x"52557280",
458
395 => x"2e983870",
459
396 => x"802eab38",
460
397 => x"807224a6",
461
398 => x"38711073",
462
399 => x"10757226",
463
400 => x"53545272",
464
401 => x"ea387351",
465
402 => x"78833874",
466
403 => x"5170800c",
467
404 => x"863d0d04",
468
405 => x"720a100a",
469
406 => x"720a100a",
470
407 => x"53537280",
471
408 => x"2ee43871",
472
409 => x"7426ed38",
473
410 => x"73723175",
474
411 => x"7407740a",
475
412 => x"100a740a",
476
413 => x"100a5555",
477
414 => x"5654e339",
478
415 => x"f73d0d7c",
479
416 => x"70525380",
480
417 => x"f93f7254",
481
418 => x"80085580",
482
419 => x"cfb05681",
483
420 => x"57800881",
484
421 => x"055a8b3d",
485
422 => x"e4115953",
486
423 => x"8259f413",
487
424 => x"527b8811",
488
425 => x"08525381",
489
426 => x"b23f8008",
490
427 => x"30708008",
491
428 => x"079f2c8a",
492
429 => x"07800c53",
493
430 => x"8b3d0d04",
494
431 => x"f63d0d7c",
495
432 => x"80cfe408",
496
433 => x"71535553",
497
434 => x"b53f7255",
498
435 => x"80085680",
499
436 => x"cfb05781",
500
437 => x"58800881",
501
438 => x"055b8c3d",
502
439 => x"e4115a53",
503
440 => x"825af413",
504
441 => x"52881408",
505
442 => x"5180f03f",
506
443 => x"80083070",
507
444 => x"8008079f",
508
445 => x"2c8a0780",
509
446 => x"0c548c3d",
510
447 => x"0d047070",
511
448 => x"70707570",
512
449 => x"71830653",
513
450 => x"555270b4",
514
451 => x"38717008",
515
452 => x"7009f7fb",
516
453 => x"fdff1206",
517
454 => x"f8848281",
518
455 => x"80065452",
519
456 => x"53719b38",
520
457 => x"84137008",
521
458 => x"7009f7fb",
522
459 => x"fdff1206",
523
460 => x"f8848281",
524
461 => x"80065452",
525
462 => x"5371802e",
526
463 => x"e7387252",
527
464 => x"71335372",
528
465 => x"802e8a38",
529
466 => x"81127033",
530
467 => x"545272f8",
531
468 => x"38717431",
532
469 => x"800c5050",
533
470 => x"505004f2",
534
471 => x"3d0d6062",
535
472 => x"88110870",
536
473 => x"58565f5a",
537
474 => x"73802e81",
538
475 => x"8c388c1a",
539
476 => x"2270832a",
540
477 => x"81328106",
541
478 => x"56587486",
542
479 => x"38901a08",
543
480 => x"91387951",
544
481 => x"90b73fff",
545
482 => x"55800880",
546
483 => x"ec388c1a",
547
484 => x"22587d08",
548
485 => x"55807883",
549
486 => x"ffff0670",
550
487 => x"0a100a81",
551
488 => x"06415c57",
552
489 => x"7e772e80",
553
490 => x"d7387690",
554
491 => x"38740884",
555
492 => x"16088817",
556
493 => x"57585676",
557
494 => x"802ef238",
558
495 => x"76548880",
559
496 => x"77278438",
560
497 => x"88805473",
561
498 => x"5375529c",
562
499 => x"1a0851a4",
563
500 => x"1a085877",
564
501 => x"2d800b80",
565
502 => x"082582e0",
566
503 => x"38800816",
567
504 => x"77800831",
568
505 => x"7f880508",
569
506 => x"80083170",
570
507 => x"6188050c",
571
508 => x"5b585678",
572
509 => x"ffb43880",
573
510 => x"5574800c",
574
511 => x"903d0d04",
575
512 => x"7a813281",
576
513 => x"06774056",
577
514 => x"75802e81",
578
515 => x"bd387690",
579
516 => x"38740884",
580
517 => x"16088817",
581
518 => x"57585976",
582
519 => x"802ef238",
583
520 => x"881a0878",
584
521 => x"83ffff06",
585
522 => x"70892a81",
586
523 => x"06565956",
587
524 => x"73802e82",
588
525 => x"f8387577",
589
526 => x"278b3877",
590
527 => x"872a8106",
591
528 => x"5c7b82b5",
592
529 => x"38767627",
593
530 => x"83387656",
594
531 => x"75537852",
595
532 => x"79085185",
596
533 => x"833f881a",
597
534 => x"08763188",
598
535 => x"1b0c7908",
599
536 => x"167a0c76",
600
537 => x"56751977",
601
538 => x"77317f88",
602
539 => x"05087831",
603
540 => x"70618805",
604
541 => x"0c415859",
605
542 => x"7e802efe",
606
543 => x"fa388c1a",
607
544 => x"2258ff8a",
608
545 => x"39787954",
609
546 => x"7c537b52",
610
547 => x"5684c93f",
611
548 => x"881a0879",
612
549 => x"31881b0c",
613
550 => x"7908197a",
614
551 => x"0c7c7631",
615
552 => x"5d7c8e38",
616
553 => x"79518ff2",
617
554 => x"3f800881",
618
555 => x"8f388008",
619
556 => x"5f751c77",
620
557 => x"77317f88",
621
558 => x"05087831",
622
559 => x"70618805",
623
560 => x"0c5d585c",
624
561 => x"7a802efe",
625
562 => x"ae387681",
626
563 => x"83387408",
627
564 => x"84160888",
628
565 => x"1757585c",
629
566 => x"76802ef2",
630
567 => x"3876538a",
631
568 => x"527b5182",
632
569 => x"d33f8008",
633
570 => x"7c318105",
634
571 => x"5d800884",
635
572 => x"3881175d",
636
573 => x"815f7c59",
637
574 => x"767d2783",
638
575 => x"38765994",
639
576 => x"1a08881b",
640
577 => x"08115758",
641
578 => x"807a085c",
642
579 => x"54901a08",
643
580 => x"7b278338",
644
581 => x"81547579",
645
582 => x"25843873",
646
583 => x"ba387779",
647
584 => x"24fee238",
648
585 => x"77537b52",
649
586 => x"9c1a0851",
650
587 => x"a41a0859",
651
588 => x"782d8008",
652
589 => x"56800880",
653
590 => x"24fee238",
654
591 => x"8c1a2280",
655
592 => x"c0075e7d",
656
593 => x"8c1b23ff",
657
594 => x"5574800c",
658
595 => x"903d0d04",
659
596 => x"7effa338",
660
597 => x"ff873975",
661
598 => x"537b527a",
662
599 => x"5182f93f",
663
600 => x"7908167a",
664
601 => x"0c79518e",
665
602 => x"b13f8008",
666
603 => x"cf387c76",
667
604 => x"315d7cfe",
668
605 => x"bc38feac",
669
606 => x"39901a08",
670
607 => x"7a087131",
671
608 => x"78117056",
672
609 => x"5a575280",
673
610 => x"cfe40851",
674
611 => x"84943f80",
675
612 => x"08802eff",
676
613 => x"a7388008",
677
614 => x"901b0c80",
678
615 => x"08167a0c",
679
616 => x"77941b0c",
680
617 => x"76881b0c",
681
618 => x"7656fd99",
682
619 => x"39790858",
683
620 => x"901a0878",
684
621 => x"27833881",
685
622 => x"54757727",
686
623 => x"843873b3",
687
624 => x"38941a08",
688
625 => x"54737726",
689
626 => x"80d33873",
690
627 => x"5378529c",
691
628 => x"1a0851a4",
692
629 => x"1a085877",
693
630 => x"2d800856",
694
631 => x"80088024",
695
632 => x"fd83388c",
696
633 => x"1a2280c0",
697
634 => x"075e7d8c",
698
635 => x"1b23ff55",
699
636 => x"fed73975",
700
637 => x"53785277",
701
638 => x"5181dd3f",
702
639 => x"7908167a",
703
640 => x"0c79518d",
704
641 => x"953f8008",
705
642 => x"802efcd9",
706
643 => x"388c1a22",
707
644 => x"80c0075e",
708
645 => x"7d8c1b23",
709
646 => x"ff55fead",
710
647 => x"39767754",
711
648 => x"79537852",
712
649 => x"5681b13f",
713
650 => x"881a0877",
714
651 => x"31881b0c",
715
652 => x"7908177a",
716
653 => x"0cfcae39",
717
654 => x"fa3d0d7a",
718
655 => x"79028805",
719
656 => x"a7053355",
720
657 => x"53548374",
721
658 => x"2780df38",
722
659 => x"71830651",
723
660 => x"7080d738",
724
661 => x"71715755",
725
662 => x"83517582",
726
663 => x"802913ff",
727
664 => x"12525670",
728
665 => x"8025f338",
729
666 => x"837427bc",
730
667 => x"38740876",
731
668 => x"327009f7",
732
669 => x"fbfdff12",
733
670 => x"06f88482",
734
671 => x"81800651",
735
672 => x"5170802e",
736
673 => x"98387451",
737
674 => x"80527033",
738
675 => x"5772772e",
739
676 => x"b9388111",
740
677 => x"81135351",
741
678 => x"837227ee",
742
679 => x"38fc1484",
743
680 => x"16565473",
744
681 => x"8326c638",
745
682 => x"7452ff14",
746
683 => x"5170ff2e",
747
684 => x"97387133",
748
685 => x"5472742e",
749
686 => x"98388112",
750
687 => x"ff125252",
751
688 => x"70ff2e09",
752
689 => x"8106eb38",
753
690 => x"80517080",
754
691 => x"0c883d0d",
755
692 => x"0471800c",
756
693 => x"883d0d04",
757
694 => x"fa3d0d78",
758
695 => x"7a7c7272",
759
696 => x"72595755",
760
697 => x"58565774",
761
698 => x"7727b238",
762
699 => x"75155176",
763
700 => x"7127aa38",
764
701 => x"707618ff",
765
702 => x"18535353",
766
703 => x"70ff2e96",
767
704 => x"38ff12ff",
768
705 => x"14545272",
769
706 => x"337234ff",
770
707 => x"115170ff",
771
708 => x"2e098106",
772
709 => x"ec387680",
773
710 => x"0c883d0d",
774
711 => x"048f7627",
775
712 => x"80e63874",
776
713 => x"77078306",
777
714 => x"517080dc",
778
715 => x"38767552",
779
716 => x"53707084",
780
717 => x"05520873",
781
718 => x"70840555",
782
719 => x"0c727170",
783
720 => x"84055308",
784
721 => x"71708405",
785
722 => x"530c7170",
786
723 => x"84055308",
787
724 => x"71708405",
788
725 => x"530c7170",
789
726 => x"84055308",
790
727 => x"71708405",
791
728 => x"530cf015",
792
729 => x"5553738f",
793
730 => x"26c73883",
794
731 => x"74279538",
795
732 => x"70708405",
796
733 => x"52087370",
797
734 => x"8405550c",
798
735 => x"fc145473",
799
736 => x"8326ed38",
800
737 => x"72715452",
801
738 => x"ff145170",
802
739 => x"ff2eff86",
803
740 => x"38727081",
804
741 => x"05543372",
805
742 => x"70810554",
806
743 => x"34ff1151",
807
744 => x"ea39ef3d",
808
745 => x"0d636567",
809
746 => x"405d427b",
810
747 => x"802e8582",
811
748 => x"386151a9",
812
749 => x"e73ff81c",
813
750 => x"70841208",
814
751 => x"70fc0670",
815
752 => x"628b0570",
816
753 => x"f8064159",
817
754 => x"455c5f41",
818
755 => x"57967427",
819
756 => x"82c53880",
820
757 => x"7b247e7c",
821
758 => x"26075880",
822
759 => x"5477742e",
823
760 => x"09810682",
824
761 => x"ab38787b",
825
762 => x"2581fe38",
826
763 => x"781780d7",
827
764 => x"a00b8805",
828
765 => x"085b5679",
829
766 => x"762e84c5",
830
767 => x"38841608",
831
768 => x"70fe0617",
832
769 => x"84110881",
833
770 => x"06415555",
834
771 => x"7e828d38",
835
772 => x"74fc0658",
836
773 => x"79762e84",
837
774 => x"e3387818",
838
775 => x"5f7e7b25",
839
776 => x"81ff387c",
840
777 => x"81065473",
841
778 => x"82c13876",
842
779 => x"77083184",
843
780 => x"1108fc06",
844
781 => x"56577580",
845
782 => x"2e913879",
846
783 => x"762e84f0",
847
784 => x"38741819",
848
785 => x"58777b25",
849
786 => x"84913876",
850
787 => x"802e829b",
851
788 => x"38781556",
852
789 => x"7a762482",
853
790 => x"92388c17",
854
791 => x"08881808",
855
792 => x"718c120c",
856
793 => x"88120c5e",
857
794 => x"75598817",
858
795 => x"61fc055b",
859
796 => x"5679a426",
860
797 => x"85ff387b",
861
798 => x"76595593",
862
799 => x"7a2780c9",
863
800 => x"387b7084",
864
801 => x"055d087c",
865
802 => x"56760c74",
866
803 => x"70840556",
867
804 => x"088c180c",
868
805 => x"9017589b",
869
806 => x"7a27ae38",
870
807 => x"74708405",
871
808 => x"5608780c",
872
809 => x"74708405",
873
810 => x"56089418",
874
811 => x"0c981758",
875
812 => x"a37a2795",
876
813 => x"38747084",
877
814 => x"05560878",
878
815 => x"0c747084",
879
816 => x"0556089c",
880
817 => x"180ca017",
881
818 => x"58747084",
882
819 => x"05560875",
883
820 => x"5f787084",
884
821 => x"055a0c77",
885
822 => x"7e708405",
886
823 => x"40087170",
887
824 => x"8405530c",
888
825 => x"7e08710c",
889
826 => x"5d787b31",
890
827 => x"56758f26",
891
828 => x"80c93884",
892
829 => x"17088106",
893
830 => x"79078418",
894
831 => x"0c781784",
895
832 => x"11088107",
896
833 => x"84120c5b",
897
834 => x"6151a791",
898
835 => x"3f881754",
899
836 => x"73800c93",
900
837 => x"3d0d0490",
901
838 => x"5bfdb839",
902
839 => x"7756fe83",
903
840 => x"398c1608",
904
841 => x"88170871",
905
842 => x"8c120c88",
906
843 => x"120c587e",
907
844 => x"707c3157",
908
845 => x"598f7627",
909
846 => x"ffb9387a",
910
847 => x"17841808",
911
848 => x"81067c07",
912
849 => x"84190c76",
913
850 => x"81078412",
914
851 => x"0c761184",
915
852 => x"11088107",
916
853 => x"84120c5b",
917
854 => x"88055261",
918
855 => x"518fda3f",
919
856 => x"6151a6b9",
920
857 => x"3f881754",
921
858 => x"ffa6397d",
922
859 => x"52615197",
923
860 => x"d73f8008",
924
861 => x"5a800880",
925
862 => x"2e81ab38",
926
863 => x"8008f805",
927
864 => x"60840508",
928
865 => x"fe066105",
929
866 => x"58557477",
930
867 => x"2e83f238",
931
868 => x"fc195877",
932
869 => x"a42681b0",
933
870 => x"387b8008",
934
871 => x"56579378",
935
872 => x"2780dc38",
936
873 => x"7b707084",
937
874 => x"05520880",
938
875 => x"08708405",
939
876 => x"800c0c80",
940
877 => x"08717084",
941
878 => x"0553085d",
942
879 => x"567b7670",
943
880 => x"8405580c",
944
881 => x"579b7827",
945
882 => x"b6387670",
946
883 => x"84055808",
947
884 => x"75708405",
948
885 => x"570c7670",
949
886 => x"84055808",
950
887 => x"75708405",
951
888 => x"570ca378",
952
889 => x"27993876",
953
890 => x"70840558",
954
891 => x"08757084",
955
892 => x"05570c76",
956
893 => x"70840558",
957
894 => x"08757084",
958
895 => x"05570c76",
959
896 => x"70840558",
960
897 => x"08775e75",
961
898 => x"70840557",
962
899 => x"0c747d70",
963
900 => x"84055f08",
964
901 => x"71708405",
965
902 => x"530c7d08",
966
903 => x"710c5f7b",
967
904 => x"5261518e",
968
905 => x"943f6151",
969
906 => x"a4f33f79",
970
907 => x"800c933d",
971
908 => x"0d047d52",
972
909 => x"61519690",
973
910 => x"3f800880",
974
911 => x"0c933d0d",
975
912 => x"04841608",
976
913 => x"55fbc939",
977
914 => x"77537b52",
978
915 => x"800851a2",
979
916 => x"a53f7b52",
980
917 => x"61518de1",
981
918 => x"3fcc398c",
982
919 => x"16088817",
983
920 => x"08718c12",
984
921 => x"0c88120c",
985
922 => x"5d8c1708",
986
923 => x"88180871",
987
924 => x"8c120c88",
988
925 => x"120c5977",
989
926 => x"59fbef39",
990
927 => x"7818901c",
991
928 => x"40557e75",
992
929 => x"24fb9c38",
993
930 => x"7a177080",
994
931 => x"d7a00b88",
995
932 => x"050c757c",
996
933 => x"31810784",
997
934 => x"120c5684",
998
935 => x"17088106",
999
936 => x"7b078418",
1000
937 => x"0c6151a3",
1001
938 => x"f43f8817",
1002
939 => x"54fce139",
1003
940 => x"74181990",
1004
941 => x"1c5e5a7c",
1005
942 => x"7a24fb8f",
1006
943 => x"388c1708",
1007
944 => x"88180871",
1008
945 => x"8c120c88",
1009
946 => x"120c5e88",
1010
947 => x"1761fc05",
1011
948 => x"575975a4",
1012
949 => x"2681b638",
1013
950 => x"7b795955",
1014
951 => x"93762780",
1015
952 => x"c9387b70",
1016
953 => x"84055d08",
1017
954 => x"7c56790c",
1018
955 => x"74708405",
1019
956 => x"56088c18",
1020
957 => x"0c901758",
1021
958 => x"9b7627ae",
1022
959 => x"38747084",
1023
960 => x"05560878",
1024
961 => x"0c747084",
1025
962 => x"05560894",
1026
963 => x"180c9817",
1027
964 => x"58a37627",
1028
965 => x"95387470",
1029
966 => x"84055608",
1030
967 => x"780c7470",
1031
968 => x"84055608",
1032
969 => x"9c180ca0",
1033
970 => x"17587470",
1034
971 => x"84055608",
1035
972 => x"75417870",
1036
973 => x"84055a0c",
1037
974 => x"77607084",
1038
975 => x"05420871",
1039
976 => x"70840553",
1040
977 => x"0c600871",
1041
978 => x"0c5e7a17",
1042
979 => x"7080d7a0",
1043
980 => x"0b88050c",
1044
981 => x"7a7c3181",
1045
982 => x"0784120c",
1046
983 => x"58841708",
1047
984 => x"81067b07",
1048
985 => x"84180c61",
1049
986 => x"51a2b23f",
1050
987 => x"78547380",
1051
988 => x"0c933d0d",
1052
989 => x"0479537b",
1053
990 => x"5275519f",
1054
991 => x"f93ffae9",
1055
992 => x"39841508",
1056
993 => x"fc061960",
1057
994 => x"5859fadd",
1058
995 => x"3975537b",
1059
996 => x"5278519f",
1060
997 => x"e13f7a17",
1061
998 => x"7080d7a0",
1062
999 => x"0b88050c",
1063
1000 => x"7a7c3181",
1064
1001 => x"0784120c",
1065
1002 => x"58841708",
1066
1003 => x"81067b07",
1067
1004 => x"84180c61",
1068
1005 => x"51a1e63f",
1069
1006 => x"7854ffb2",
1070
1007 => x"39fa3d0d",
1071
1008 => x"7880cfe4",
1072
1009 => x"085455b8",
1073
1010 => x"1308802e",
1074
1011 => x"81af388c",
1075
1012 => x"15227083",
1076
1013 => x"ffff0670",
1077
1014 => x"832a8132",
1078
1015 => x"81065555",
1079
1016 => x"5672802e",
1080
1017 => x"80da3873",
1081
1018 => x"842a8132",
1082
1019 => x"810657ff",
1083
1020 => x"537680f2",
1084
1021 => x"3873822a",
1085
1022 => x"81065473",
1086
1023 => x"802eb938",
1087
1024 => x"b0150854",
1088
1025 => x"73802e9c",
1089
1026 => x"3880c015",
1090
1027 => x"5373732e",
1091
1028 => x"8f387352",
1092
1029 => x"80cfe408",
1093
1030 => x"518a9e3f",
1094
1031 => x"8c152256",
1095
1032 => x"76b0160c",
1096
1033 => x"75db0657",
1097
1034 => x"768c1623",
1098
1035 => x"800b8416",
1099
1036 => x"0c901508",
1100
1037 => x"750c7656",
1101
1038 => x"75880754",
1102
1039 => x"738c1623",
1103
1040 => x"90150880",
1104
1041 => x"2ebf388c",
1105
1042 => x"15227081",
1106
1043 => x"06555373",
1107
1044 => x"9c38720a",
1108
1045 => x"100a8106",
1109
1046 => x"56758538",
1110
1047 => x"94150854",
1111
1048 => x"7388160c",
1112
1049 => x"80537280",
1113
1050 => x"0c883d0d",
1114
1051 => x"04800b88",
1115
1052 => x"160c9415",
1116
1053 => x"08309816",
1117
1054 => x"0c8053ea",
1118
1055 => x"39725182",
1119
1056 => x"a63ffecb",
1120
1057 => x"3974518f",
1121
1058 => x"bc3f8c15",
1122
1059 => x"22708106",
1123
1060 => x"55537380",
1124
1061 => x"2effbb38",
1125
1062 => x"d439f83d",
1126
1063 => x"0d7a5776",
1127
1064 => x"802e8197",
1128
1065 => x"3880cfe4",
1129
1066 => x"0854b814",
1130
1067 => x"08802e80",
1131
1068 => x"eb388c17",
1132
1069 => x"2270902b",
1133
1070 => x"70902c70",
1134
1071 => x"832a8132",
1135
1072 => x"81065b5b",
1136
1073 => x"57557780",
1137
1074 => x"cb389017",
1138
1075 => x"08567580",
1139
1076 => x"2e80c138",
1140
1077 => x"76087631",
1141
1078 => x"76780c79",
1142
1079 => x"83065555",
1143
1080 => x"73853894",
1144
1081 => x"17085877",
1145
1082 => x"88180c80",
1146
1083 => x"7525a538",
1147
1084 => x"74537552",
1148
1085 => x"9c170851",
1149
1086 => x"a4170854",
1150
1087 => x"732d800b",
1151
1088 => x"80082580",
1152
1089 => x"c9388008",
1153
1090 => x"16758008",
1154
1091 => x"31565674",
1155
1092 => x"8024dd38",
1156
1093 => x"800b800c",
1157
1094 => x"8a3d0d04",
1158
1095 => x"73518187",
1159
1096 => x"3f8c1722",
1160
1097 => x"70902b70",
1161
1098 => x"902c7083",
1162
1099 => x"2a813281",
1163
1100 => x"065b5b57",
1164
1101 => x"5577dd38",
1165
1102 => x"ff9039a1",
1166
1103 => x"9a5280cf",
1167
1104 => x"e408518c",
1168
1105 => x"d03f8008",
1169
1106 => x"800c8a3d",
1170
1107 => x"0d048c17",
1171
1108 => x"2280c007",
1172
1109 => x"58778c18",
1173
1110 => x"23ff0b80",
1174
1111 => x"0c8a3d0d",
1175
1112 => x"04fa3d0d",
1176
1113 => x"797080dc",
1177
1114 => x"298c1154",
1178
1115 => x"7a535657",
1179
1116 => x"8fd63f80",
1180
1117 => x"08800855",
1181
1118 => x"56800880",
1182
1119 => x"2ea23880",
1183
1120 => x"088c0554",
1184
1121 => x"800b8008",
1185
1122 => x"0c768008",
1186
1123 => x"84050c73",
1187
1124 => x"80088805",
1188
1125 => x"0c745380",
1189
1126 => x"5273519c",
1190
1127 => x"f53f7554",
1191
1128 => x"73800c88",
1192
1129 => x"3d0d0470",
1193
1130 => x"707074a8",
1194
1131 => x"e60bbc12",
1195
1132 => x"0c53810b",
1196
1133 => x"b8140c80",
1197
1134 => x"0b84dc14",
1198
1135 => x"0c830b84",
1199
1136 => x"e0140c84",
1200
1137 => x"e81384e4",
1201
1138 => x"140c8413",
1202
1139 => x"08518070",
1203
1140 => x"720c7084",
1204
1141 => x"130c7088",
1205
1142 => x"130c5284",
1206
1143 => x"0b8c1223",
1207
1144 => x"718e1223",
1208
1145 => x"7190120c",
1209
1146 => x"7194120c",
1210
1147 => x"7198120c",
1211
1148 => x"709c120c",
1212
1149 => x"80c1d50b",
1213
1150 => x"a0120c80",
1214
1151 => x"c2a10ba4",
1215
1152 => x"120c80c3",
1216
1153 => x"9d0ba812",
1217
1154 => x"0c80c3ee",
1218
1155 => x"0bac120c",
1219
1156 => x"88130872",
1220
1157 => x"710c7284",
1221
1158 => x"120c7288",
1222
1159 => x"120c5189",
1223
1160 => x"0b8c1223",
1224
1161 => x"810b8e12",
1225
1162 => x"23719012",
1226
1163 => x"0c719412",
1227
1164 => x"0c719812",
1228
1165 => x"0c709c12",
1229
1166 => x"0c80c1d5",
1230
1167 => x"0ba0120c",
1231
1168 => x"80c2a10b",
1232
1169 => x"a4120c80",
1233
1170 => x"c39d0ba8",
1234
1171 => x"120c80c3",
1235
1172 => x"ee0bac12",
1236
1173 => x"0c8c1308",
1237
1174 => x"72710c72",
1238
1175 => x"84120c72",
1239
1176 => x"88120c51",
1240
1177 => x"8a0b8c12",
1241
1178 => x"23820b8e",
1242
1179 => x"12237190",
1243
1180 => x"120c7194",
1244
1181 => x"120c7198",
1245
1182 => x"120c709c",
1246
1183 => x"120c80c1",
1247
1184 => x"d50ba012",
1248
1185 => x"0c80c2a1",
1249
1186 => x"0ba4120c",
1250
1187 => x"80c39d0b",
1251
1188 => x"a8120c80",
1252
1189 => x"c3ee0bac",
1253
1190 => x"120c5050",
1254
1191 => x"5004f83d",
1255
1192 => x"0d7a80cf",
1256
1193 => x"e408b811",
1257
1194 => x"08575758",
1258
1195 => x"7481ec38",
1259
1196 => x"a8e60bbc",
1260
1197 => x"170c810b",
1261
1198 => x"b8170c74",
1262
1199 => x"84dc170c",
1263
1200 => x"830b84e0",
1264
1201 => x"170c84e8",
1265
1202 => x"1684e417",
1266
1203 => x"0c841608",
1267
1204 => x"75710c75",
1268
1205 => x"84120c75",
1269
1206 => x"88120c59",
1270
1207 => x"840b8c1a",
1271
1208 => x"23748e1a",
1272
1209 => x"2374901a",
1273
1210 => x"0c74941a",
1274
1211 => x"0c74981a",
1275
1212 => x"0c789c1a",
1276
1213 => x"0c80c1d5",
1277
1214 => x"0ba01a0c",
1278
1215 => x"80c2a10b",
1279
1216 => x"a41a0c80",
1280
1217 => x"c39d0ba8",
1281
1218 => x"1a0c80c3",
1282
1219 => x"ee0bac1a",
1283
1220 => x"0c881608",
1284
1221 => x"75710c75",
1285
1222 => x"84120c75",
1286
1223 => x"88120c57",
1287
1224 => x"890b8c18",
1288
1225 => x"23810b8e",
1289
1226 => x"18237490",
1290
1227 => x"180c7494",
1291
1228 => x"180c7498",
1292
1229 => x"180c769c",
1293
1230 => x"180c80c1",
1294
1231 => x"d50ba018",
1295
1232 => x"0c80c2a1",
1296
1233 => x"0ba4180c",
1297
1234 => x"80c39d0b",
1298
1235 => x"a8180c80",
1299
1236 => x"c3ee0bac",
1300
1237 => x"180c8c16",
1301
1238 => x"0875710c",
1302
1239 => x"7584120c",
1303
1240 => x"7588120c",
1304
1241 => x"548a0b8c",
1305
1242 => x"1523820b",
1306
1243 => x"8e152374",
1307
1244 => x"90150c74",
1308
1245 => x"94150c74",
1309
1246 => x"98150c73",
1310
1247 => x"9c150c80",
1311
1248 => x"c1d50ba0",
1312
1249 => x"150c80c2",
1313
1250 => x"a10ba415",
1314
1251 => x"0c80c39d",
1315
1252 => x"0ba8150c",
1316
1253 => x"80c3ee0b",
1317
1254 => x"ac150c84",
1318
1255 => x"dc168811",
1319
1256 => x"08841208",
1320
1257 => x"ff055757",
1321
1258 => x"57807524",
1322
1259 => x"9f388c16",
1323
1260 => x"2270902b",
1324
1261 => x"70902c51",
1325
1262 => x"55597380",
1326
1263 => x"2e80ed38",
1327
1264 => x"80dc16ff",
1328
1265 => x"16565674",
1329
1266 => x"8025e338",
1330
1267 => x"76085574",
1331
1268 => x"802e8f38",
1332
1269 => x"74881108",
1333
1270 => x"841208ff",
1334
1271 => x"05575757",
1335
1272 => x"c83982fc",
1336
1273 => x"5277518a",
1337
1274 => x"df3f8008",
1338
1275 => x"80085556",
1339
1276 => x"8008802e",
1340
1277 => x"a3388008",
1341
1278 => x"8c057580",
1342
1279 => x"080c5484",
1343
1280 => x"0b800884",
1344
1281 => x"050c7380",
1345
1282 => x"0888050c",
1346
1283 => x"82f05374",
1347
1284 => x"52735197",
1348
1285 => x"fd3f7554",
1349
1286 => x"7374780c",
1350
1287 => x"5573ffb4",
1351
1288 => x"388c780c",
1352
1289 => x"800b800c",
1353
1290 => x"8a3d0d04",
1354
1291 => x"810b8c17",
1355
1292 => x"2373760c",
1356
1293 => x"7388170c",
1357
1294 => x"7384170c",
1358
1295 => x"7390170c",
1359
1296 => x"7394170c",
1360
1297 => x"7398170c",
1361
1298 => x"ff0b8e17",
1362
1299 => x"2373b017",
1363
1300 => x"0c73b417",
1364
1301 => x"0c7380c4",
1365
1302 => x"170c7380",
1366
1303 => x"c8170c75",
1367
1304 => x"800c8a3d",
1368
1305 => x"0d047070",
1369
1306 => x"a19a5273",
1370
1307 => x"5186a63f",
1371
1308 => x"50500470",
1372
1309 => x"70a19a52",
1373
1310 => x"80cfe408",
1374
1311 => x"5186963f",
1375
1312 => x"505004fb",
1376
1313 => x"3d0d7770",
1377
1314 => x"52569890",
1378
1315 => x"3f80d7a0",
1379
1316 => x"0b880508",
1380
1317 => x"841108fc",
1381
1318 => x"06707b31",
1382
1319 => x"9fef05e0",
1383
1320 => x"8006e080",
1384
1321 => x"05525555",
1385
1322 => x"a0807524",
1386
1323 => x"94388052",
1387
1324 => x"755197ea",
1388
1325 => x"3f80d7a8",
1389
1326 => x"08145372",
1390
1327 => x"80082e8f",
1391
1328 => x"38755197",
1392
1329 => x"d83f8053",
1393
1330 => x"72800c87",
1394
1331 => x"3d0d0474",
1395
1332 => x"30527551",
1396
1333 => x"97c83f80",
1397
1334 => x"08ff2ea8",
1398
1335 => x"3880d7a0",
1399
1336 => x"0b880508",
1400
1337 => x"74763181",
1401
1338 => x"0784120c",
1402
1339 => x"5380d6e4",
1403
1340 => x"08753180",
1404
1341 => x"d6e40c75",
1405
1342 => x"5197a23f",
1406
1343 => x"810b800c",
1407
1344 => x"873d0d04",
1408
1345 => x"80527551",
1409
1346 => x"97943f80",
1410
1347 => x"d7a00b88",
1411
1348 => x"05088008",
1412
1349 => x"71315454",
1413
1350 => x"8f7325ff",
1414
1351 => x"a4388008",
1415
1352 => x"80d79408",
1416
1353 => x"3180d6e4",
1417
1354 => x"0c728107",
1418
1355 => x"84150c75",
1419
1356 => x"5196ea3f",
1420
1357 => x"8053ff90",
1421
1358 => x"39f73d0d",
1422
1359 => x"7b7d545a",
1423
1360 => x"72802e82",
1424
1361 => x"83387951",
1425
1362 => x"96d23ff8",
1426
1363 => x"13841108",
1427
1364 => x"70fe0670",
1428
1365 => x"13841108",
1429
1366 => x"fc065c57",
1430
1367 => x"58545780",
1431
1368 => x"d7a80874",
1432
1369 => x"2e82de38",
1433
1370 => x"7784150c",
1434
1371 => x"80738106",
1435
1372 => x"56597479",
1436
1373 => x"2e81d538",
1437
1374 => x"77148411",
1438
1375 => x"08810656",
1439
1376 => x"5374a038",
1440
1377 => x"77165678",
1441
1378 => x"81e63888",
1442
1379 => x"14085574",
1443
1380 => x"80d7a82e",
1444
1381 => x"82f9388c",
1445
1382 => x"1408708c",
1446
1383 => x"170c7588",
1447
1384 => x"120c5875",
1448
1385 => x"81078418",
1449
1386 => x"0c751776",
1450
1387 => x"710c5478",
1451
1388 => x"81913883",
1452
1389 => x"ff762781",
1453
1390 => x"c8387589",
1454
1391 => x"2a76832a",
1455
1392 => x"54547380",
1456
1393 => x"2ebf3875",
1457
1394 => x"862ab805",
1458
1395 => x"53847427",
1459
1396 => x"b43880db",
1460
1397 => x"14539474",
1461
1398 => x"27ab3875",
1462
1399 => x"8c2a80ee",
1463
1400 => x"055380d4",
1464
1401 => x"74279e38",
1465
1402 => x"758f2a80",
1466
1403 => x"f7055382",
1467
1404 => x"d4742791",
1468
1405 => x"3875922a",
1469
1406 => x"80fc0553",
1470
1407 => x"8ad47427",
1471
1408 => x"843880fe",
1472
1409 => x"53721010",
1473
1410 => x"1080d7a0",
1474
1411 => x"05881108",
1475
1412 => x"55557375",
1476
1413 => x"2e82bf38",
1477
1414 => x"841408fc",
1478
1415 => x"06597579",
1479
1416 => x"278d3888",
1480
1417 => x"14085473",
1481
1418 => x"752e0981",
1482
1419 => x"06ea388c",
1483
1420 => x"1408708c",
1484
1421 => x"190c7488",
1485
1422 => x"190c7788",
1486
1423 => x"120c5576",
1487
1424 => x"8c150c79",
1488
1425 => x"5194d63f",
1489
1426 => x"8b3d0d04",
1490
1427 => x"76087771",
1491
1428 => x"31587605",
1492
1429 => x"88180856",
1493
1430 => x"567480d7",
1494
1431 => x"a82e80e0",
1495
1432 => x"388c1708",
1496
1433 => x"708c170c",
1497
1434 => x"7588120c",
1498
1435 => x"53fe8939",
1499
1436 => x"8814088c",
1500
1437 => x"1508708c",
1501
1438 => x"130c5988",
1502
1439 => x"190cfea3",
1503
1440 => x"3975832a",
1504
1441 => x"70545480",
1505
1442 => x"74248198",
1506
1443 => x"3872822c",
1507
1444 => x"81712b80",
1508
1445 => x"d7a40807",
1509
1446 => x"80d7a00b",
1510
1447 => x"84050c74",
1511
1448 => x"10101080",
1512
1449 => x"d7a00588",
1513
1450 => x"1108718c",
1514
1451 => x"1b0c7088",
1515
1452 => x"1b0c7988",
1516
1453 => x"130c565a",
1517
1454 => x"55768c15",
1518
1455 => x"0cff8439",
1519
1456 => x"8159fdb4",
1520
1457 => x"39771673",
1521
1458 => x"81065455",
1522
1459 => x"72983876",
1523
1460 => x"08777131",
1524
1461 => x"5875058c",
1525
1462 => x"18088819",
1526
1463 => x"08718c12",
1527
1464 => x"0c88120c",
1528
1465 => x"55557481",
1529
1466 => x"0784180c",
1530
1467 => x"7680d7a0",
1531
1468 => x"0b88050c",
1532
1469 => x"80d79c08",
1533
1470 => x"7526fec7",
1534
1471 => x"3880d798",
1535
1472 => x"08527951",
1536
1473 => x"fafd3f79",
1537
1474 => x"5193923f",
1538
1475 => x"feba3981",
1539
1476 => x"778c170c",
1540
1477 => x"7788170c",
1541
1478 => x"758c190c",
1542
1479 => x"7588190c",
1543
1480 => x"59fd8039",
1544
1481 => x"83147082",
1545
1482 => x"2c81712b",
1546
1483 => x"80d7a408",
1547
1484 => x"0780d7a0",
1548
1485 => x"0b84050c",
1549
1486 => x"75101010",
1550
1487 => x"80d7a005",
1551
1488 => x"88110871",
1552
1489 => x"8c1c0c70",
1553
1490 => x"881c0c7a",
1554
1491 => x"88130c57",
1555
1492 => x"5b5653fe",
1556
1493 => x"e4398073",
1557
1494 => x"24a33872",
1558
1495 => x"822c8171",
1559
1496 => x"2b80d7a4",
1560
1497 => x"080780d7",
1561
1498 => x"a00b8405",
1562
1499 => x"0c58748c",
1563
1500 => x"180c7388",
1564
1501 => x"180c7688",
1565
1502 => x"160cfdc3",
1566
1503 => x"39831370",
1567
1504 => x"822c8171",
1568
1505 => x"2b80d7a4",
1569
1506 => x"080780d7",
1570
1507 => x"a00b8405",
1571
1508 => x"0c5953da",
1572
1509 => x"39f93d0d",
1573
1510 => x"797b5853",
1574
1511 => x"800b80cf",
1575
1512 => x"e4085356",
1576
1513 => x"72722ebc",
1577
1514 => x"3884dc13",
1578
1515 => x"5574762e",
1579
1516 => x"b3388815",
1580
1517 => x"08841608",
1581
1518 => x"ff055454",
1582
1519 => x"80732499",
1583
1520 => x"388c1422",
1584
1521 => x"70902b53",
1585
1522 => x"587180d4",
1586
1523 => x"3880dc14",
1587
1524 => x"ff145454",
1588
1525 => x"728025e9",
1589
1526 => x"38740855",
1590
1527 => x"74d43880",
1591
1528 => x"cfe40852",
1592
1529 => x"84dc1255",
1593
1530 => x"74802ead",
1594
1531 => x"38881508",
1595
1532 => x"841608ff",
1596
1533 => x"05545480",
1597
1534 => x"73249838",
1598
1535 => x"8c142270",
1599
1536 => x"902b5358",
1600
1537 => x"71ad3880",
1601
1538 => x"dc14ff14",
1602
1539 => x"54547280",
1603
1540 => x"25ea3874",
1604
1541 => x"085574d5",
1605
1542 => x"3875800c",
1606
1543 => x"893d0d04",
1607
1544 => x"7351762d",
1608
1545 => x"75800807",
1609
1546 => x"80dc15ff",
1610
1547 => x"15555556",
1611
1548 => x"ffa23973",
1612
1549 => x"51762d75",
1613
1550 => x"80080780",
1614
1551 => x"dc15ff15",
1615
1552 => x"555556ca",
1616
1553 => x"39ea3d0d",
1617
1554 => x"688c1122",
1618
1555 => x"700a100a",
1619
1556 => x"81065758",
1620
1557 => x"567480e4",
1621
1558 => x"388e1622",
1622
1559 => x"70902b70",
1623
1560 => x"902c5155",
1624
1561 => x"58807424",
1625
1562 => x"b138983d",
1626
1563 => x"c4055373",
1627
1564 => x"5280cfe4",
1628
1565 => x"08519481",
1629
1566 => x"3f800b80",
1630
1567 => x"08249738",
1631
1568 => x"7983e080",
1632
1569 => x"06547380",
1633
1570 => x"c0802e81",
1634
1571 => x"8f387382",
1635
1572 => x"80802e81",
1636
1573 => x"91388c16",
1637
1574 => x"22577690",
1638
1575 => x"80075473",
1639
1576 => x"8c172388",
1640
1577 => x"805280cf",
1641
1578 => x"e4085181",
1642
1579 => x"9b3f8008",
1643
1580 => x"9d388c16",
1644
1581 => x"22820755",
1645
1582 => x"748c1723",
1646
1583 => x"80c31670",
1647
1584 => x"770c9017",
1648
1585 => x"0c810b94",
1649
1586 => x"170c983d",
1650
1587 => x"0d0480cf",
1651
1588 => x"e408a8e6",
1652
1589 => x"0bbc120c",
1653
1590 => x"588c1622",
1654
1591 => x"81800754",
1655
1592 => x"738c1723",
1656
1593 => x"8008760c",
1657
1594 => x"80089017",
1658
1595 => x"0c88800b",
1659
1596 => x"94170c74",
1660
1597 => x"802ed338",
1661
1598 => x"8e162270",
1662
1599 => x"902b7090",
1663
1600 => x"2c535654",
1664
1601 => x"9afe3f80",
1665
1602 => x"08802eff",
1666
1603 => x"bd388c16",
1667
1604 => x"22810757",
1668
1605 => x"768c1723",
1669
1606 => x"983d0d04",
1670
1607 => x"810b8c17",
1671
1608 => x"225855fe",
1672
1609 => x"f539a816",
1673
1610 => x"0880c39d",
1674
1611 => x"2e098106",
1675
1612 => x"fee4388c",
1676
1613 => x"16228880",
1677
1614 => x"0754738c",
1678
1615 => x"17238880",
1679
1616 => x"0b80cc17",
1680
1617 => x"0cfedc39",
1681
1618 => x"f43d0d7e",
1682
1619 => x"608b1170",
1683
1620 => x"f8065b55",
1684
1621 => x"555d7296",
1685
1622 => x"26833890",
1686
1623 => x"58807824",
1687
1624 => x"74792607",
1688
1625 => x"55805474",
1689
1626 => x"742e0981",
1690
1627 => x"0680ca38",
1691
1628 => x"7c518ea8",
1692
1629 => x"3f7783f7",
1693
1630 => x"2680c538",
1694
1631 => x"77832a70",
1695
1632 => x"10101080",
1696
1633 => x"d7a0058c",
1697
1634 => x"11085858",
1698
1635 => x"5475772e",
1699
1636 => x"81f03884",
1700
1637 => x"1608fc06",
1701
1638 => x"8c170888",
1702
1639 => x"1808718c",
1703
1640 => x"120c8812",
1704
1641 => x"0c5b7605",
1705
1642 => x"84110881",
1706
1643 => x"0784120c",
1707
1644 => x"537c518d",
1708
1645 => x"e83f8816",
1709
1646 => x"5473800c",
1710
1647 => x"8e3d0d04",
1711
1648 => x"77892a78",
1712
1649 => x"832a5854",
1713
1650 => x"73802ebf",
1714
1651 => x"3877862a",
1715
1652 => x"b8055784",
1716
1653 => x"7427b438",
1717
1654 => x"80db1457",
1718
1655 => x"947427ab",
1719
1656 => x"38778c2a",
1720
1657 => x"80ee0557",
1721
1658 => x"80d47427",
1722
1659 => x"9e38778f",
1723
1660 => x"2a80f705",
1724
1661 => x"5782d474",
1725
1662 => x"27913877",
1726
1663 => x"922a80fc",
1727
1664 => x"05578ad4",
1728
1665 => x"74278438",
1729
1666 => x"80fe5776",
1730
1667 => x"10101080",
1731
1668 => x"d7a0058c",
1732
1669 => x"11085653",
1733
1670 => x"74732ea3",
1734
1671 => x"38841508",
1735
1672 => x"fc067079",
1736
1673 => x"31555673",
1737
1674 => x"8f2488e4",
1738
1675 => x"38738025",
1739
1676 => x"88e6388c",
1740
1677 => x"15085574",
1741
1678 => x"732e0981",
1742
1679 => x"06df3881",
1743
1680 => x"175980d7",
1744
1681 => x"b0085675",
1745
1682 => x"80d7a82e",
1746
1683 => x"82cc3884",
1747
1684 => x"1608fc06",
1748
1685 => x"70793155",
1749
1686 => x"55738f24",
1750
1687 => x"bb3880d7",
1751
1688 => x"a80b80d7",
1752
1689 => x"b40c80d7",
1753
1690 => x"a80b80d7",
1754
1691 => x"b00c8074",
1755
1692 => x"2480db38",
1756
1693 => x"74168411",
1757
1694 => x"08810784",
1758
1695 => x"120c53fe",
1759
1696 => x"b0398816",
1760
1697 => x"8c110857",
1761
1698 => x"5975792e",
1762
1699 => x"098106fe",
1763
1700 => x"82388214",
1764
1701 => x"59ffab39",
1765
1702 => x"77167881",
1766
1703 => x"0784180c",
1767
1704 => x"7080d7b4",
1768
1705 => x"0c7080d7",
1769
1706 => x"b00c80d7",
1770
1707 => x"a80b8c12",
1771
1708 => x"0c8c1108",
1772
1709 => x"88120c74",
1773
1710 => x"81078412",
1774
1711 => x"0c740574",
1775
1712 => x"710c5b7c",
1776
1713 => x"518bd63f",
1777
1714 => x"881654fd",
1778
1715 => x"ec3983ff",
1779
1716 => x"75278391",
1780
1717 => x"3874892a",
1781
1718 => x"75832a54",
1782
1719 => x"5473802e",
1783
1720 => x"bf387486",
1784
1721 => x"2ab80553",
1785
1722 => x"847427b4",
1786
1723 => x"3880db14",
1787
1724 => x"53947427",
1788
1725 => x"ab38748c",
1789
1726 => x"2a80ee05",
1790
1727 => x"5380d474",
1791
1728 => x"279e3874",
1792
1729 => x"8f2a80f7",
1793
1730 => x"055382d4",
1794
1731 => x"74279138",
1795
1732 => x"74922a80",
1796
1733 => x"fc05538a",
1797
1734 => x"d4742784",
1798
1735 => x"3880fe53",
1799
1736 => x"72101010",
1800
1737 => x"80d7a005",
1801
1738 => x"88110855",
1802
1739 => x"5773772e",
1803
1740 => x"868b3884",
1804
1741 => x"1408fc06",
1805
1742 => x"5b747b27",
1806
1743 => x"8d388814",
1807
1744 => x"08547377",
1808
1745 => x"2e098106",
1809
1746 => x"ea388c14",
1810
1747 => x"0880d7a0",
1811
1748 => x"0b840508",
1812
1749 => x"718c190c",
1813
1750 => x"7588190c",
1814
1751 => x"7788130c",
1815
1752 => x"5c57758c",
1816
1753 => x"150c7853",
1817
1754 => x"80792483",
1818
1755 => x"98387282",
1819
1756 => x"2c81712b",
1820
1757 => x"5656747b",
1821
1758 => x"2680ca38",
1822
1759 => x"7a750657",
1823
1760 => x"7682a338",
1824
1761 => x"78fc0684",
1825
1762 => x"05597410",
1826
1763 => x"707c0655",
1827
1764 => x"55738292",
1828
1765 => x"38841959",
1829
1766 => x"f13980d7",
1830
1767 => x"a00b8405",
1831
1768 => x"0879545b",
1832
1769 => x"788025c6",
1833
1770 => x"3882da39",
1834
1771 => x"74097b06",
1835
1772 => x"7080d7a0",
1836
1773 => x"0b84050c",
1837
1774 => x"5b741055",
1838
1775 => x"747b2685",
1839
1776 => x"387485bc",
1840
1777 => x"3880d7a0",
1841
1778 => x"0b880508",
1842
1779 => x"70841208",
1843
1780 => x"fc06707b",
1844
1781 => x"317b7226",
1845
1782 => x"8f722507",
1846
1783 => x"5d575c5c",
1847
1784 => x"5578802e",
1848
1785 => x"80d93879",
1849
1786 => x"1580d798",
1850
1787 => x"08199011",
1851
1788 => x"59545680",
1852
1789 => x"d79408ff",
1853
1790 => x"2e8838a0",
1854
1791 => x"8f13e080",
1855
1792 => x"06577652",
1856
1793 => x"7c518996",
1857
1794 => x"3f800854",
1858
1795 => x"8008ff2e",
1859
1796 => x"90388008",
1860
1797 => x"762782a7",
1861
1798 => x"387480d7",
1862
1799 => x"a02e829f",
1863
1800 => x"3880d7a0",
1864
1801 => x"0b880508",
1865
1802 => x"55841508",
1866
1803 => x"fc067079",
1867
1804 => x"31797226",
1868
1805 => x"8f722507",
1869
1806 => x"5d555a7a",
1870
1807 => x"83f23877",
1871
1808 => x"81078416",
1872
1809 => x"0c771570",
1873
1810 => x"80d7a00b",
1874
1811 => x"88050c74",
1875
1812 => x"81078412",
1876
1813 => x"0c567c51",
1877
1814 => x"88c33f88",
1878
1815 => x"15547380",
1879
1816 => x"0c8e3d0d",
1880
1817 => x"0474832a",
1881
1818 => x"70545480",
1882
1819 => x"7424819b",
1883
1820 => x"3872822c",
1884
1821 => x"81712b80",
1885
1822 => x"d7a40807",
1886
1823 => x"7080d7a0",
1887
1824 => x"0b84050c",
1888
1825 => x"75101010",
1889
1826 => x"80d7a005",
1890
1827 => x"88110871",
1891
1828 => x"8c1b0c70",
1892
1829 => x"881b0c79",
1893
1830 => x"88130c57",
1894
1831 => x"555c5575",
1895
1832 => x"8c150cfd",
1896
1833 => x"c1397879",
1897
1834 => x"10101080",
1898
1835 => x"d7a00570",
1899
1836 => x"565b5c8c",
1900
1837 => x"14085675",
1901
1838 => x"742ea338",
1902
1839 => x"841608fc",
1903
1840 => x"06707931",
1904
1841 => x"5853768f",
1905
1842 => x"2483f138",
1906
1843 => x"76802584",
1907
1844 => x"af388c16",
1908
1845 => x"08567574",
1909
1846 => x"2e098106",
1910
1847 => x"df388814",
1911
1848 => x"811a7083",
1912
1849 => x"06555a54",
1913
1850 => x"72c9387b",
1914
1851 => x"83065675",
1915
1852 => x"802efdb8",
1916
1853 => x"38ff1cf8",
1917
1854 => x"1b5b5c88",
1918
1855 => x"1a087a2e",
1919
1856 => x"ea38fdb5",
1920
1857 => x"39831953",
1921
1858 => x"fce43983",
1922
1859 => x"1470822c",
1923
1860 => x"81712b80",
1924
1861 => x"d7a40807",
1925
1862 => x"7080d7a0",
1926
1863 => x"0b84050c",
1927
1864 => x"76101010",
1928
1865 => x"80d7a005",
1929
1866 => x"88110871",
1930
1867 => x"8c1c0c70",
1931
1868 => x"881c0c7a",
1932
1869 => x"88130c58",
1933
1870 => x"535d5653",
1934
1871 => x"fee13980",
1935
1872 => x"d6e40817",
1936
1873 => x"59800876",
1937
1874 => x"2e818b38",
1938
1875 => x"80d79408",
1939
1876 => x"ff2e848e",
1940
1877 => x"38737631",
1941
1878 => x"1980d6e4",
1942
1879 => x"0c738706",
1943
1880 => x"70565372",
1944
1881 => x"802e8838",
1945
1882 => x"88733170",
1946
1883 => x"15555576",
1947
1884 => x"149fff06",
1948
1885 => x"a0807131",
1949
1886 => x"1670547e",
1950
1887 => x"53515386",
1951
1888 => x"9d3f8008",
1952
1889 => x"568008ff",
1953
1890 => x"2e819e38",
1954
1891 => x"80d6e408",
1955
1892 => x"137080d6",
1956
1893 => x"e40c7475",
1957
1894 => x"80d7a00b",
1958
1895 => x"88050c77",
1959
1896 => x"76311581",
1960
1897 => x"07555659",
1961
1898 => x"7a80d7a0",
1962
1899 => x"2e83c038",
1963
1900 => x"798f2682",
1964
1901 => x"ef38810b",
1965
1902 => x"84150c84",
1966
1903 => x"1508fc06",
1967
1904 => x"70793179",
1968
1905 => x"72268f72",
1969
1906 => x"25075d55",
1970
1907 => x"5a7a802e",
1971
1908 => x"fced3880",
1972
1909 => x"db398008",
1973
1910 => x"9fff0655",
1974
1911 => x"74feed38",
1975
1912 => x"7880d6e4",
1976
1913 => x"0c80d7a0",
1977
1914 => x"0b880508",
1978
1915 => x"7a188107",
1979
1916 => x"84120c55",
1980
1917 => x"80d79008",
1981
1918 => x"79278638",
1982
1919 => x"7880d790",
1983
1920 => x"0c80d78c",
1984
1921 => x"087927fc",
1985
1922 => x"a0387880",
1986
1923 => x"d78c0c84",
1987
1924 => x"1508fc06",
1988
1925 => x"70793179",
1989
1926 => x"72268f72",
1990
1927 => x"25075d55",
1991
1928 => x"5a7a802e",
1992
1929 => x"fc993888",
1993
1930 => x"39807457",
1994
1931 => x"53fedd39",
1995
1932 => x"7c5184e9",
1996
1933 => x"3f800b80",
1997
1934 => x"0c8e3d0d",
1998
1935 => x"04807324",
1999
1936 => x"a5387282",
2000
1937 => x"2c81712b",
2001
1938 => x"80d7a408",
2002
1939 => x"077080d7",
2003
1940 => x"a00b8405",
2004
1941 => x"0c5c5a76",
2005
1942 => x"8c170c73",
2006
1943 => x"88170c75",
2007
1944 => x"88180cf9",
2008
1945 => x"fd398313",
2009
1946 => x"70822c81",
2010
1947 => x"712b80d7",
2011
1948 => x"a4080770",
2012
1949 => x"80d7a00b",
2013
1950 => x"84050c5d",
2014
1951 => x"5b53d839",
2015
1952 => x"7a75065c",
2016
1953 => x"7bfc9f38",
2017
1954 => x"84197510",
2018
1955 => x"5659f139",
2019
1956 => x"ff178105",
2020
1957 => x"59f7ab39",
2021
1958 => x"8c150888",
2022
1959 => x"1608718c",
2023
1960 => x"120c8812",
2024
1961 => x"0c597515",
2025
1962 => x"84110881",
2026
1963 => x"0784120c",
2027
1964 => x"587c5183",
2028
1965 => x"e83f8815",
2029
1966 => x"54fba339",
2030
1967 => x"77167881",
2031
1968 => x"0784180c",
2032
1969 => x"8c170888",
2033
1970 => x"1808718c",
2034
1971 => x"120c8812",
2035
1972 => x"0c5c7080",
2036
1973 => x"d7b40c70",
2037
1974 => x"80d7b00c",
2038
1975 => x"80d7a80b",
2039
1976 => x"8c120c8c",
2040
1977 => x"11088812",
2041
1978 => x"0c778107",
2042
1979 => x"84120c77",
2043
1980 => x"0577710c",
2044
1981 => x"557c5183",
2045
1982 => x"a43f8816",
2046
1983 => x"54f5ba39",
2047
1984 => x"72168411",
2048
1985 => x"08810784",
2049
1986 => x"120c588c",
2050
1987 => x"16088817",
2051
1988 => x"08718c12",
2052
1989 => x"0c88120c",
2053
1990 => x"577c5183",
2054
1991 => x"803f8816",
2055
1992 => x"54f59639",
2056
1993 => x"7284150c",
2057
1994 => x"f41af806",
2058
1995 => x"70841d08",
2059
1996 => x"81060784",
2060
1997 => x"1d0c701c",
2061
1998 => x"5556850b",
2062
1999 => x"84150c85",
2063
2000 => x"0b88150c",
2064
2001 => x"8f7627fd",
2065
2002 => x"ab38881b",
2066
2003 => x"527c51eb",
2067
2004 => x"e83f80d7",
2068
2005 => x"a00b8805",
2069
2006 => x"0880d6e4",
2070
2007 => x"085a55fd",
2071
2008 => x"93397880",
2072
2009 => x"d6e40c73",
2073
2010 => x"80d7940c",
2074
2011 => x"fbef3972",
2075
2012 => x"84150cfc",
2076
2013 => x"ff39fb3d",
2077
2014 => x"0d77707a",
2078
2015 => x"7c585553",
2079
2016 => x"568f7527",
2080
2017 => x"80e63872",
2081
2018 => x"76078306",
2082
2019 => x"517080dc",
2083
2020 => x"38757352",
2084
2021 => x"54707084",
2085
2022 => x"05520874",
2086
2023 => x"70840556",
2087
2024 => x"0c737170",
2088
2025 => x"84055308",
2089
2026 => x"71708405",
2090
2027 => x"530c7170",
2091
2028 => x"84055308",
2092
2029 => x"71708405",
2093
2030 => x"530c7170",
2094
2031 => x"84055308",
2095
2032 => x"71708405",
2096
2033 => x"530cf016",
2097
2034 => x"5654748f",
2098
2035 => x"26c73883",
2099
2036 => x"75279538",
2100
2037 => x"70708405",
2101
2038 => x"52087470",
2102
2039 => x"8405560c",
2103
2040 => x"fc155574",
2104
2041 => x"8326ed38",
2105
2042 => x"73715452",
2106
2043 => x"ff155170",
2107
2044 => x"ff2e9838",
2108
2045 => x"72708105",
2109
2046 => x"54337270",
2110
2047 => x"81055434",
2111
2048 => x"ff115170",
2112
2049 => x"ff2e0981",
2113
2050 => x"06ea3875",
2114
2051 => x"800c873d",
2115
2052 => x"0d04fb3d",
2116
2053 => x"0d777a71",
2117
2054 => x"028c05a3",
2118
2055 => x"05335854",
2119
2056 => x"54568373",
2120
2057 => x"2780d438",
2121
2058 => x"75830651",
2122
2059 => x"7080cc38",
2123
2060 => x"74882b75",
2124
2061 => x"07707190",
2125
2062 => x"2b075551",
2126
2063 => x"8f7327a7",
2127
2064 => x"38737270",
2128
2065 => x"8405540c",
2129
2066 => x"71747170",
2130
2067 => x"8405530c",
2131
2068 => x"74717084",
2132
2069 => x"05530c74",
2133
2070 => x"71708405",
2134
2071 => x"530cf014",
2135
2072 => x"5452728f",
2136
2073 => x"26db3883",
2137
2074 => x"73279038",
2138
2075 => x"73727084",
2139
2076 => x"05540cfc",
2140
2077 => x"13537283",
2141
2078 => x"26f238ff",
2142
2079 => x"135170ff",
2143
2080 => x"2e933874",
2144
2081 => x"72708105",
2145
2082 => x"5434ff11",
2146
2083 => x"5170ff2e",
2147
2084 => x"098106ef",
2148
2085 => x"3875800c",
2149
2086 => x"873d0d04",
2150
2087 => x"04047070",
2151
2088 => x"7070800b",
2152
2089 => x"80dfe00c",
2153
2090 => x"765184f3",
2154
2091 => x"3f800853",
2155
2092 => x"8008ff2e",
2156
2093 => x"89387280",
2157
2094 => x"0c505050",
2158
2095 => x"500480df",
2159
2096 => x"e0085473",
2160
2097 => x"802eef38",
2161
2098 => x"7574710c",
2162
2099 => x"5272800c",
2163
2100 => x"50505050",
2164
2101 => x"04f93d0d",
2165
2102 => x"797c557b",
2166
2103 => x"548e1122",
2167
2104 => x"70902b70",
2168
2105 => x"902c5557",
2169
2106 => x"80cfe408",
2170
2107 => x"53585683",
2171
2108 => x"f63f8008",
2172
2109 => x"57800b80",
2173
2110 => x"08249338",
2174
2111 => x"80d01608",
2175
2112 => x"80080580",
2176
2113 => x"d0170c76",
2177
2114 => x"800c893d",
2178
2115 => x"0d048c16",
2179
2116 => x"2283dfff",
2180
2117 => x"0655748c",
2181
2118 => x"17237680",
2182
2119 => x"0c893d0d",
2183
2120 => x"04fa3d0d",
2184
2121 => x"788c1122",
2185
2122 => x"70882a70",
2186
2123 => x"81065157",
2187
2124 => x"585674a9",
2188
2125 => x"388c1622",
2189
2126 => x"83dfff06",
2190
2127 => x"55748c17",
2191
2128 => x"237a5479",
2192
2129 => x"538e1622",
2193
2130 => x"70902b70",
2194
2131 => x"902c5456",
2195
2132 => x"80cfe408",
2196
2133 => x"525681b2",
2197
2134 => x"3f883d0d",
2198
2135 => x"04825480",
2199
2136 => x"538e1622",
2200
2137 => x"70902b70",
2201
2138 => x"902c5456",
2202
2139 => x"80cfe408",
2203
2140 => x"525782bb",
2204
2141 => x"3f8c1622",
2205
2142 => x"83dfff06",
2206
2143 => x"55748c17",
2207
2144 => x"237a5479",
2208
2145 => x"538e1622",
2209
2146 => x"70902b70",
2210
2147 => x"902c5456",
2211
2148 => x"80cfe408",
2212
2149 => x"525680f2",
2213
2150 => x"3f883d0d",
2214
2151 => x"04f93d0d",
2215
2152 => x"797c557b",
2216
2153 => x"548e1122",
2217
2154 => x"70902b70",
2218
2155 => x"902c5557",
2219
2156 => x"80cfe408",
2220
2157 => x"53585681",
2221
2158 => x"f63f8008",
2222
2159 => x"578008ff",
2223
2160 => x"2e99388c",
2224
2161 => x"1622a080",
2225
2162 => x"0755748c",
2226
2163 => x"17238008",
2227
2164 => x"80d0170c",
2228
2165 => x"76800c89",
2229
2166 => x"3d0d048c",
2230
2167 => x"162283df",
2231
2168 => x"ff065574",
2232
2169 => x"8c172376",
2233
2170 => x"800c893d",
2234
2171 => x"0d047070",
2235
2172 => x"70748e11",
2236
2173 => x"2270902b",
2237
2174 => x"70902c55",
2238
2175 => x"51515380",
2239
2176 => x"cfe40851",
2240
2177 => x"bd3f5050",
2241
2178 => x"5004fb3d",
2242
2179 => x"0d800b80",
2243
2180 => x"dfe00c7a",
2244
2181 => x"53795278",
2245
2182 => x"5182ff3f",
2246
2183 => x"80085580",
2247
2184 => x"08ff2e88",
2248
2185 => x"3874800c",
2249
2186 => x"873d0d04",
2250
2187 => x"80dfe008",
2251
2188 => x"5675802e",
2252
2189 => x"f0387776",
2253
2190 => x"710c5474",
2254
2191 => x"800c873d",
2255
2192 => x"0d047070",
2256
2193 => x"7070800b",
2257
2194 => x"80dfe00c",
2258
2195 => x"765184cc",
2259
2196 => x"3f800853",
2260
2197 => x"8008ff2e",
2261
2198 => x"89387280",
2262
2199 => x"0c505050",
2263
2200 => x"500480df",
2264
2201 => x"e0085473",
2265
2202 => x"802eef38",
2266
2203 => x"7574710c",
2267
2204 => x"5272800c",
2268
2205 => x"50505050",
2269
2206 => x"04fc3d0d",
2270
2207 => x"800b80df",
2271
2208 => x"e00c7852",
2272
2209 => x"775187b3",
2273
2210 => x"3f800854",
2274
2211 => x"8008ff2e",
2275
2212 => x"88387380",
2276
2213 => x"0c863d0d",
2277
2214 => x"0480dfe0",
2278
2215 => x"08557480",
2279
2216 => x"2ef03876",
2280
2217 => x"75710c53",
2281
2218 => x"73800c86",
2282
2219 => x"3d0d04fb",
2283
2220 => x"3d0d800b",
2284
2221 => x"80dfe00c",
2285
2222 => x"7a537952",
2286
2223 => x"7851848e",
2287
2224 => x"3f800855",
2288
2225 => x"8008ff2e",
2289
2226 => x"88387480",
2290
2227 => x"0c873d0d",
2291
2228 => x"0480dfe0",
2292
2229 => x"08567580",
2293
2230 => x"2ef03877",
2294
2231 => x"76710c54",
2295
2232 => x"74800c87",
2296
2233 => x"3d0d04fb",
2297
2234 => x"3d0d800b",
2298
2235 => x"80dfe00c",
2299
2236 => x"7a537952",
2300
2237 => x"78518296",
2301
2238 => x"3f800855",
2302
2239 => x"8008ff2e",
2303
2240 => x"88387480",
2304
2241 => x"0c873d0d",
2305
2242 => x"0480dfe0",
2306
2243 => x"08567580",
2307
2244 => x"2ef03877",
2308
2245 => x"76710c54",
2309
2246 => x"74800c87",
2310
2247 => x"3d0d0470",
2311
2248 => x"707080df",
2312
2249 => x"d0088938",
2313
2250 => x"80dfe40b",
2314
2251 => x"80dfd00c",
2315
2252 => x"80dfd008",
2316
2253 => x"75115252",
2317
2254 => x"ff537087",
2318
2255 => x"fb808026",
2319
2256 => x"88387080",
2320
2257 => x"dfd00c71",
2321
2258 => x"5372800c",
2322
2259 => x"50505004",
2323
2260 => x"fd3d0d80",
2324
2261 => x"0b80cfd8",
2325
2262 => x"08545472",
2326
2263 => x"812e9b38",
2327
2264 => x"7380dfd4",
2328
2265 => x"0cc2bf3f",
2329
2266 => x"c1963f80",
2330
2267 => x"dfa85281",
2331
2268 => x"51c3fd3f",
2332
2269 => x"80085186",
2333
2270 => x"c23f7280",
2334
2271 => x"dfd40cc2",
2335
2272 => x"a53fc0fc",
2336
2273 => x"3f80dfa8",
2337
2274 => x"528151c3",
2338
2275 => x"e33f8008",
2339
2276 => x"5186a83f",
2340
2277 => x"00ff3900",
2341
2278 => x"ff39f53d",
2342
2279 => x"0d7e6080",
2343
2280 => x"dfd40870",
2344
2281 => x"5b585b5b",
2345
2282 => x"7580c238",
2346
2283 => x"777a25a1",
2347
2284 => x"38771b70",
2348
2285 => x"337081ff",
2349
2286 => x"06585859",
2350
2287 => x"758a2e98",
2351
2288 => x"387681ff",
2352
2289 => x"0651c1bd",
2353
2290 => x"3f811858",
2354
2291 => x"797824e1",
2355
2292 => x"3879800c",
2356
2293 => x"8d3d0d04",
2357
2294 => x"8d51c1a9",
2358
2295 => x"3f783370",
2359
2296 => x"81ff0652",
2360
2297 => x"57c19e3f",
2361
2298 => x"811858e0",
2362
2299 => x"3979557a",
2363
2300 => x"547d5385",
2364
2301 => x"528d3dfc",
2365
2302 => x"0551c0c6",
2366
2303 => x"3f800856",
2367
2304 => x"85b23f7b",
2368
2305 => x"80080c75",
2369
2306 => x"800c8d3d",
2370
2307 => x"0d04f63d",
2371
2308 => x"0d7d7f80",
2372
2309 => x"dfd40870",
2373
2310 => x"5b585a5a",
2374
2311 => x"7580c138",
2375
2312 => x"777925b3",
2376
2313 => x"38c0b93f",
2377
2314 => x"800881ff",
2378
2315 => x"06708d32",
2379
2316 => x"7030709f",
2380
2317 => x"2a515157",
2381
2318 => x"57768a2e",
2382
2319 => x"80c43875",
2383
2320 => x"802ebf38",
2384
2321 => x"771a5676",
2385
2322 => x"76347651",
2386
2323 => x"c0b73f81",
2387
2324 => x"18587878",
2388
2325 => x"24cf3877",
2389
2326 => x"5675800c",
2390
2327 => x"8c3d0d04",
2391
2328 => x"78557954",
2392
2329 => x"7c538452",
2393
2330 => x"8c3dfc05",
2394
2331 => x"51ffbfd2",
2395
2332 => x"3f800856",
2396
2333 => x"84be3f7a",
2397
2334 => x"80080c75",
2398
2335 => x"800c8c3d",
2399
2336 => x"0d04771a",
2400
2337 => x"598a7934",
2401
2338 => x"8118588d",
2402
2339 => x"51ffbff5",
2403
2340 => x"3f8a51ff",
2404
2341 => x"bfef3f77",
2405
2342 => x"56ffbe39",
2406
2343 => x"fb3d0d80",
2407
2344 => x"dfd40870",
2408
2345 => x"56547388",
2409
2346 => x"3874800c",
2410
2347 => x"873d0d04",
2411
2348 => x"77538352",
2412
2349 => x"873dfc05",
2413
2350 => x"51ffbf86",
2414
2351 => x"3f800854",
2415
2352 => x"83f23f75",
2416
2353 => x"80080c73",
2417
2354 => x"800c873d",
2418
2355 => x"0d04fa3d",
2419
2356 => x"0d80dfd4",
2420
2357 => x"08802ea3",
2421
2358 => x"387a5579",
2422
2359 => x"54785386",
2423
2360 => x"52883dfc",
2424
2361 => x"0551ffbe",
2425
2362 => x"d93f8008",
2426
2363 => x"5683c53f",
2427
2364 => x"7680080c",
2428
2365 => x"75800c88",
2429
2366 => x"3d0d0483",
2430
2367 => x"b73f9d0b",
2431
2368 => x"80080cff",
2432
2369 => x"0b800c88",
2433
2370 => x"3d0d04f7",
2434
2371 => x"3d0d7b7d",
2435
2372 => x"5b59bc53",
2436
2373 => x"80527951",
2437
2374 => x"f5f83f80",
2438
2375 => x"70565798",
2439
2376 => x"56741970",
2440
2377 => x"3370782b",
2441
2378 => x"79078118",
2442
2379 => x"f81a5a58",
2443
2380 => x"59555884",
2444
2381 => x"7524ea38",
2445
2382 => x"767a2384",
2446
2383 => x"19588070",
2447
2384 => x"56579856",
2448
2385 => x"74187033",
2449
2386 => x"70782b79",
2450
2387 => x"078118f8",
2451
2388 => x"1a5a5859",
2452
2389 => x"51548475",
2453
2390 => x"24ea3876",
2454
2391 => x"821b2388",
2455
2392 => x"19588070",
2456
2393 => x"56579856",
2457
2394 => x"74187033",
2458
2395 => x"70782b79",
2459
2396 => x"078118f8",
2460
2397 => x"1a5a5859",
2461
2398 => x"51548475",
2462
2399 => x"24ea3876",
2463
2400 => x"841b0c8c",
2464
2401 => x"19588070",
2465
2402 => x"56579856",
2466
2403 => x"74187033",
2467
2404 => x"70782b79",
2468
2405 => x"078118f8",
2469
2406 => x"1a5a5859",
2470
2407 => x"51548475",
2471
2408 => x"24ea3876",
2472
2409 => x"881b2390",
2473
2410 => x"19588070",
2474
2411 => x"56579856",
2475
2412 => x"74187033",
2476
2413 => x"70782b79",
2477
2414 => x"078118f8",
2478
2415 => x"1a5a5859",
2479
2416 => x"51548475",
2480
2417 => x"24ea3876",
2481
2418 => x"8a1b2394",
2482
2419 => x"19588070",
2483
2420 => x"56579856",
2484
2421 => x"74187033",
2485
2422 => x"70782b79",
2486
2423 => x"078118f8",
2487
2424 => x"1a5a5859",
2488
2425 => x"51548475",
2489
2426 => x"24ea3876",
2490
2427 => x"8c1b2398",
2491
2428 => x"19588070",
2492
2429 => x"56579856",
2493
2430 => x"74187033",
2494
2431 => x"70782b79",
2495
2432 => x"078118f8",
2496
2433 => x"1a5a5859",
2497
2434 => x"51548475",
2498
2435 => x"24ea3876",
2499
2436 => x"8e1b239c",
2500
2437 => x"19588070",
2501
2438 => x"5657b856",
2502
2439 => x"74187033",
2503
2440 => x"70782b79",
2504
2441 => x"078118f8",
2505
2442 => x"1a5a5859",
2506
2443 => x"5a548875",
2507
2444 => x"24ea3876",
2508
2445 => x"901b0c8b",
2509
2446 => x"3d0d04e9",
2510
2447 => x"3d0d6a80",
2511
2448 => x"dfd40857",
2512
2449 => x"57759338",
2513
2450 => x"80c0800b",
2514
2451 => x"84180c75",
2515
2452 => x"ac180c75",
2516
2453 => x"800c993d",
2517
2454 => x"0d04893d",
2518
2455 => x"70556a54",
2519
2456 => x"558a5299",
2520
2457 => x"3dffbc05",
2521
2458 => x"51ffbbd6",
2522
2459 => x"3f800877",
2523
2460 => x"53755256",
2524
2461 => x"fd953fbc",
2525
2462 => x"3f778008",
2526
2463 => x"0c75800c",
2527
2464 => x"993d0d04",
2528
2465 => x"fc3d0d81",
2529
2466 => x"5480dfd4",
2530
2467 => x"08883873",
2531
2468 => x"800c863d",
2532
2469 => x"0d047653",
2533
2470 => x"97b95286",
2534
2471 => x"3dfc0551",
2535
2472 => x"ffbb9f3f",
2536
2473 => x"8008548c",
2537
2474 => x"3f748008",
2538
2475 => x"0c73800c",
2539
2476 => x"863d0d04",
2540
2477 => x"80cfe408",
2541
2478 => x"800c04f7",
2542
2479 => x"3d0d7b80",
2543
2480 => x"cfe40882",
2544
2481 => x"c811085a",
2545
2482 => x"545a7780",
2546
2483 => x"2e80da38",
2547
2484 => x"81881884",
2548
2485 => x"1908ff05",
2549
2486 => x"81712b59",
2550
2487 => x"55598074",
2551
2488 => x"2480ea38",
2552
2489 => x"807424b5",
2553
2490 => x"3873822b",
2554
2491 => x"78118805",
2555
2492 => x"56568180",
2556
2493 => x"19087706",
2557
2494 => x"5372802e",
2558
2495 => x"b6387816",
2559
2496 => x"70085353",
2560
2497 => x"79517408",
2561
2498 => x"53722dff",
2562
2499 => x"14fc17fc",
2563
2500 => x"1779812c",
2564
2501 => x"5a575754",
2565
2502 => x"738025d6",
2566
2503 => x"38770858",
2567
2504 => x"77ffad38",
2568
2505 => x"80cfe408",
2569
2506 => x"53bc1308",
2570
2507 => x"a5387951",
2571
2508 => x"f8e23f74",
2572
2509 => x"0853722d",
2573
2510 => x"ff14fc17",
2574
2511 => x"fc177981",
2575
2512 => x"2c5a5757",
2576
2513 => x"54738025",
2577
2514 => x"ffa838d1",
2578
2515 => x"398057ff",
2579
2516 => x"93397251",
2580
2517 => x"bc130854",
2581
2518 => x"732d7951",
2582
2519 => x"f8b63f70",
2583
2520 => x"7080dfb0",
2584
2521 => x"0bfc0570",
2585
2522 => x"08525270",
2586
2523 => x"ff2e9138",
2587
2524 => x"702dfc12",
2588
2525 => x"70085252",
2589
2526 => x"70ff2e09",
2590
2527 => x"8106f138",
2591
2528 => x"50500404",
2592
2529 => x"ffbb8c3f",
2593
2530 => x"04000000",
2594
2531 => x"00000040",
2595
2532 => x"48656c6c",
2596
2533 => x"6f20776f",
2597
2534 => x"726c6420",
2598
2535 => x"310a0000",
2599
2536 => x"48656c6c",
2600
2537 => x"6f20776f",
2601
2538 => x"726c6420",
2602
2539 => x"320a0000",
2603
2540 => x"0a000000",
2604
2541 => x"43000000",
2605
2542 => x"64756d6d",
2606
2543 => x"792e6578",
2607
2544 => x"65000000",
2608
2545 => x"00ffffff",
2609
2546 => x"ff00ffff",
2610
2547 => x"ffff00ff",
2611
2548 => x"ffffff00",
2612
2549 => x"00000000",
2613
2550 => x"00000000",
2614
2551 => x"00000000",
2615
2552 => x"00002fb8",
2616
2553 => x"000027e8",
2617
2554 => x"00000000",
2618
2555 => x"00002a50",
2619
2556 => x"00002aac",
2620
2557 => x"00002b08",
2621
2558 => x"00000000",
2622
2559 => x"00000000",
2623
2560 => x"00000000",
2624
2561 => x"00000000",
2625
2562 => x"00000000",
2626
2563 => x"00000000",
2627
2564 => x"00000000",
2628
2565 => x"00000000",
2629
2566 => x"00000000",
2630
2567 => x"000027b4",
2631
2568 => x"00000000",
2632
2569 => x"00000000",
2633
2570 => x"00000000",
2634
2571 => x"00000000",
2635
2572 => x"00000000",
2636
2573 => x"00000000",
2637
2574 => x"00000000",
2638
2575 => x"00000000",
2639
2576 => x"00000000",
2640
2577 => x"00000000",
2641
2578 => x"00000000",
2642
2579 => x"00000000",
2643
2580 => x"00000000",
2644
2581 => x"00000000",
2645
2582 => x"00000000",
2646
2583 => x"00000000",
2647
2584 => x"00000000",
2648
2585 => x"00000000",
2649
2586 => x"00000000",
2650
2587 => x"00000000",
2651
2588 => x"00000000",
2652
2589 => x"00000000",
2653
2590 => x"00000000",
2654
2591 => x"00000000",
2655
2592 => x"00000000",
2656
2593 => x"00000000",
2657
2594 => x"00000000",
2658
2595 => x"00000000",
2659
2596 => x"00000001",
2660
2597 => x"330eabcd",
2661
2598 => x"1234e66d",
2662
2599 => x"deec0005",
2663
2600 => x"000b0000",
2664
2601 => x"00000000",
2665
2602 => x"00000000",
2666
2603 => x"00000000",
2667
2604 => x"00000000",
2668
2605 => x"00000000",
2669
2606 => x"00000000",
2670
2607 => x"00000000",
2671
2608 => x"00000000",
2672
2609 => x"00000000",
2673
2610 => x"00000000",
2674
2611 => x"00000000",
2675
2612 => x"00000000",
2676
2613 => x"00000000",
2677
2614 => x"00000000",
2678
2615 => x"00000000",
2679
2616 => x"00000000",
2680
2617 => x"00000000",
2681
2618 => x"00000000",
2682
2619 => x"00000000",
2683
2620 => x"00000000",
2684
2621 => x"00000000",
2685
2622 => x"00000000",
2686
2623 => x"00000000",
2687
2624 => x"00000000",
2688
2625 => x"00000000",
2689
2626 => x"00000000",
2690
2627 => x"00000000",
2691
2628 => x"00000000",
2692
2629 => x"00000000",
2693
2630 => x"00000000",
2694
2631 => x"00000000",
2695
2632 => x"00000000",
2696
2633 => x"00000000",
2697
2634 => x"00000000",
2698
2635 => x"00000000",
2699
2636 => x"00000000",
2700
2637 => x"00000000",
2701
2638 => x"00000000",
2702
2639 => x"00000000",
2703
2640 => x"00000000",
2704
2641 => x"00000000",
2705
2642 => x"00000000",
2706
2643 => x"00000000",
2707
2644 => x"00000000",
2708
2645 => x"00000000",
2709
2646 => x"00000000",
2710
2647 => x"00000000",
2711
2648 => x"00000000",
2712
2649 => x"00000000",
2713
2650 => x"00000000",
2714
2651 => x"00000000",
2715
2652 => x"00000000",
2716
2653 => x"00000000",
2717
2654 => x"00000000",
2718
2655 => x"00000000",
2719
2656 => x"00000000",
2720
2657 => x"00000000",
2721
2658 => x"00000000",
2722
2659 => x"00000000",
2723
2660 => x"00000000",
2724
2661 => x"00000000",
2725
2662 => x"00000000",
2726
2663 => x"00000000",
2727
2664 => x"00000000",
2728
2665 => x"00000000",
2729
2666 => x"00000000",
2730
2667 => x"00000000",
2731
2668 => x"00000000",
2732
2669 => x"00000000",
2733
2670 => x"00000000",
2734
2671 => x"00000000",
2735
2672 => x"00000000",
2736
2673 => x"00000000",
2737
2674 => x"00000000",
2738
2675 => x"00000000",
2739
2676 => x"00000000",
2740
2677 => x"00000000",
2741
2678 => x"00000000",
2742
2679 => x"00000000",
2743
2680 => x"00000000",
2744
2681 => x"00000000",
2745
2682 => x"00000000",
2746
2683 => x"00000000",
2747
2684 => x"00000000",
2748
2685 => x"00000000",
2749
2686 => x"00000000",
2750
2687 => x"00000000",
2751
2688 => x"00000000",
2752
2689 => x"00000000",
2753
2690 => x"00000000",
2754
2691 => x"00000000",
2755
2692 => x"00000000",
2756
2693 => x"00000000",
2757
2694 => x"00000000",
2758
2695 => x"00000000",
2759
2696 => x"00000000",
2760
2697 => x"00000000",
2761
2698 => x"00000000",
2762
2699 => x"00000000",
2763
2700 => x"00000000",
2764
2701 => x"00000000",
2765
2702 => x"00000000",
2766
2703 => x"00000000",
2767
2704 => x"00000000",
2768
2705 => x"00000000",
2769
2706 => x"00000000",
2770
2707 => x"00000000",
2771
2708 => x"00000000",
2772
2709 => x"00000000",
2773
2710 => x"00000000",
2774
2711 => x"00000000",
2775
2712 => x"00000000",
2776
2713 => x"00000000",
2777
2714 => x"00000000",
2778
2715 => x"00000000",
2779
2716 => x"00000000",
2780
2717 => x"00000000",
2781
2718 => x"00000000",
2782
2719 => x"00000000",
2783
2720 => x"00000000",
2784
2721 => x"00000000",
2785
2722 => x"00000000",
2786
2723 => x"00000000",
2787
2724 => x"00000000",
2788
2725 => x"00000000",
2789
2726 => x"00000000",
2790
2727 => x"00000000",
2791
2728 => x"00000000",
2792
2729 => x"00000000",
2793
2730 => x"00000000",
2794
2731 => x"00000000",
2795
2732 => x"00000000",
2796
2733 => x"00000000",
2797
2734 => x"00000000",
2798
2735 => x"00000000",
2799
2736 => x"00000000",
2800
2737 => x"00000000",
2801
2738 => x"00000000",
2802
2739 => x"00000000",
2803
2740 => x"00000000",
2804
2741 => x"00000000",
2805
2742 => x"00000000",
2806
2743 => x"00000000",
2807
2744 => x"00000000",
2808
2745 => x"00000000",
2809
2746 => x"00000000",
2810
2747 => x"00000000",
2811
2748 => x"00000000",
2812
2749 => x"00000000",
2813
2750 => x"00000000",
2814
2751 => x"00000000",
2815
2752 => x"00000000",
2816
2753 => x"00000000",
2817
2754 => x"00000000",
2818
2755 => x"00000000",
2819
2756 => x"00000000",
2820
2757 => x"00000000",
2821
2758 => x"00000000",
2822
2759 => x"00000000",
2823
2760 => x"00000000",
2824
2761 => x"00000000",
2825
2762 => x"00000000",
2826
2763 => x"00000000",
2827
2764 => x"00000000",
2828
2765 => x"00000000",
2829
2766 => x"00000000",
2830
2767 => x"00000000",
2831
2768 => x"00000000",
2832
2769 => x"00000000",
2833
2770 => x"00000000",
2834
2771 => x"00000000",
2835
2772 => x"00000000",
2836
2773 => x"00000000",
2837
2774 => x"00000000",
2838
2775 => x"00000000",
2839
2776 => x"00000000",
2840
2777 => x"00000000",
2841
2778 => x"00000000",
2842
2779 => x"00000000",
2843
2780 => x"00000000",
2844
2781 => x"00000000",
2845
2782 => x"00000000",
2846
2783 => x"00000000",
2847
2784 => x"00000000",
2848
2785 => x"00000000",
2849
2786 => x"00000000",
2850
2787 => x"00000000",
2851
2788 => x"00000000",
2852
2789 => x"ffffffff",
2853
2790 => x"00000000",
2854
2791 => x"00020000",
2855
2792 => x"00000000",
2856
2793 => x"00000000",
2857
2794 => x"00002ba0",
2858
2795 => x"00002ba0",
2859
2796 => x"00002ba8",
2860
2797 => x"00002ba8",
2861
2798 => x"00002bb0",
2862
2799 => x"00002bb0",
2863
2800 => x"00002bb8",
2864
2801 => x"00002bb8",
2865
2802 => x"00002bc0",
2866
2803 => x"00002bc0",
2867
2804 => x"00002bc8",
2868
2805 => x"00002bc8",
2869
2806 => x"00002bd0",
2870
2807 => x"00002bd0",
2871
2808 => x"00002bd8",
2872
2809 => x"00002bd8",
2873
2810 => x"00002be0",
2874
2811 => x"00002be0",
2875
2812 => x"00002be8",
2876
2813 => x"00002be8",
2877
2814 => x"00002bf0",
2878
2815 => x"00002bf0",
2879
2816 => x"00002bf8",
2880
2817 => x"00002bf8",
2881
2818 => x"00002c00",
2882
2819 => x"00002c00",
2883
2820 => x"00002c08",
2884
2821 => x"00002c08",
2885
2822 => x"00002c10",
2886
2823 => x"00002c10",
2887
2824 => x"00002c18",
2888
2825 => x"00002c18",
2889
2826 => x"00002c20",
2890
2827 => x"00002c20",
2891
2828 => x"00002c28",
2892
2829 => x"00002c28",
2893
2830 => x"00002c30",
2894
2831 => x"00002c30",
2895
2832 => x"00002c38",
2896
2833 => x"00002c38",
2897
2834 => x"00002c40",
2898
2835 => x"00002c40",
2899
2836 => x"00002c48",
2900
2837 => x"00002c48",
2901
2838 => x"00002c50",
2902
2839 => x"00002c50",
2903
2840 => x"00002c58",
2904
2841 => x"00002c58",
2905
2842 => x"00002c60",
2906
2843 => x"00002c60",
2907
2844 => x"00002c68",
2908
2845 => x"00002c68",
2909
2846 => x"00002c70",
2910
2847 => x"00002c70",
2911
2848 => x"00002c78",
2912
2849 => x"00002c78",
2913
2850 => x"00002c80",
2914
2851 => x"00002c80",
2915
2852 => x"00002c88",
2916
2853 => x"00002c88",
2917
2854 => x"00002c90",
2918
2855 => x"00002c90",
2919
2856 => x"00002c98",
2920
2857 => x"00002c98",
2921
2858 => x"00002ca0",
2922
2859 => x"00002ca0",
2923
2860 => x"00002ca8",
2924
2861 => x"00002ca8",
2925
2862 => x"00002cb0",
2926
2863 => x"00002cb0",
2927
2864 => x"00002cb8",
2928
2865 => x"00002cb8",
2929
2866 => x"00002cc0",
2930
2867 => x"00002cc0",
2931
2868 => x"00002cc8",
2932
2869 => x"00002cc8",
2933
2870 => x"00002cd0",
2934
2871 => x"00002cd0",
2935
2872 => x"00002cd8",
2936
2873 => x"00002cd8",
2937
2874 => x"00002ce0",
2938
2875 => x"00002ce0",
2939
2876 => x"00002ce8",
2940
2877 => x"00002ce8",
2941
2878 => x"00002cf0",
2942
2879 => x"00002cf0",
2943
2880 => x"00002cf8",
2944
2881 => x"00002cf8",
2945
2882 => x"00002d00",
2946
2883 => x"00002d00",
2947
2884 => x"00002d08",
2948
2885 => x"00002d08",
2949
2886 => x"00002d10",
2950
2887 => x"00002d10",
2951
2888 => x"00002d18",
2952
2889 => x"00002d18",
2953
2890 => x"00002d20",
2954
2891 => x"00002d20",
2955
2892 => x"00002d28",
2956
2893 => x"00002d28",
2957
2894 => x"00002d30",
2958
2895 => x"00002d30",
2959
2896 => x"00002d38",
2960
2897 => x"00002d38",
2961
2898 => x"00002d40",
2962
2899 => x"00002d40",
2963
2900 => x"00002d48",
2964
2901 => x"00002d48",
2965
2902 => x"00002d50",
2966
2903 => x"00002d50",
2967
2904 => x"00002d58",
2968
2905 => x"00002d58",
2969
2906 => x"00002d60",
2970
2907 => x"00002d60",
2971
2908 => x"00002d68",
2972
2909 => x"00002d68",
2973
2910 => x"00002d70",
2974
2911 => x"00002d70",
2975
2912 => x"00002d78",
2976
2913 => x"00002d78",
2977
2914 => x"00002d80",
2978
2915 => x"00002d80",
2979
2916 => x"00002d88",
2980
2917 => x"00002d88",
2981
2918 => x"00002d90",
2982
2919 => x"00002d90",
2983
2920 => x"00002d98",
2984
2921 => x"00002d98",
2985
2922 => x"00002da0",
2986
2923 => x"00002da0",
2987
2924 => x"00002da8",
2988
2925 => x"00002da8",
2989
2926 => x"00002db0",
2990
2927 => x"00002db0",
2991
2928 => x"00002db8",
2992
2929 => x"00002db8",
2993
2930 => x"00002dc0",
2994
2931 => x"00002dc0",
2995
2932 => x"00002dc8",
2996
2933 => x"00002dc8",
2997
2934 => x"00002dd0",
2998
2935 => x"00002dd0",
2999
2936 => x"00002dd8",
3000
2937 => x"00002dd8",
3001
2938 => x"00002de0",
3002
2939 => x"00002de0",
3003
2940 => x"00002de8",
3004
2941 => x"00002de8",
3005
2942 => x"00002df0",
3006
2943 => x"00002df0",
3007
2944 => x"00002df8",
3008
2945 => x"00002df8",
3009
2946 => x"00002e00",
3010
2947 => x"00002e00",
3011
2948 => x"00002e08",
3012
2949 => x"00002e08",
3013
2950 => x"00002e10",
3014
2951 => x"00002e10",
3015
2952 => x"00002e18",
3016
2953 => x"00002e18",
3017
2954 => x"00002e20",
3018
2955 => x"00002e20",
3019
2956 => x"00002e28",
3020
2957 => x"00002e28",
3021
2958 => x"00002e30",
3022
2959 => x"00002e30",
3023
2960 => x"00002e38",
3024
2961 => x"00002e38",
3025
2962 => x"00002e40",
3026
2963 => x"00002e40",
3027
2964 => x"00002e48",
3028
2965 => x"00002e48",
3029
2966 => x"00002e50",
3030
2967 => x"00002e50",
3031
2968 => x"00002e58",
3032
2969 => x"00002e58",
3033
2970 => x"00002e60",
3034
2971 => x"00002e60",
3035
2972 => x"00002e68",
3036
2973 => x"00002e68",
3037
2974 => x"00002e70",
3038
2975 => x"00002e70",
3039
2976 => x"00002e78",
3040
2977 => x"00002e78",
3041
2978 => x"00002e80",
3042
2979 => x"00002e80",
3043
2980 => x"00002e88",
3044
2981 => x"00002e88",
3045
2982 => x"00002e90",
3046
2983 => x"00002e90",
3047
2984 => x"00002e98",
3048
2985 => x"00002e98",
3049
2986 => x"00002ea0",
3050
2987 => x"00002ea0",
3051
2988 => x"00002ea8",
3052
2989 => x"00002ea8",
3053
2990 => x"00002eb0",
3054
2991 => x"00002eb0",
3055
2992 => x"00002eb8",
3056
2993 => x"00002eb8",
3057
2994 => x"00002ec0",
3058
2995 => x"00002ec0",
3059
2996 => x"00002ec8",
3060
2997 => x"00002ec8",
3061
2998 => x"00002ed0",
3062
2999 => x"00002ed0",
3063
3000 => x"00002ed8",
3064
3001 => x"00002ed8",
3065
3002 => x"00002ee0",
3066
3003 => x"00002ee0",
3067
3004 => x"00002ee8",
3068
3005 => x"00002ee8",
3069
3006 => x"00002ef0",
3070
3007 => x"00002ef0",
3071
3008 => x"00002ef8",
3072
3009 => x"00002ef8",
3073
3010 => x"00002f00",
3074
3011 => x"00002f00",
3075
3012 => x"00002f08",
3076
3013 => x"00002f08",
3077
3014 => x"00002f10",
3078
3015 => x"00002f10",
3079
3016 => x"00002f18",
3080
3017 => x"00002f18",
3081
3018 => x"00002f20",
3082
3019 => x"00002f20",
3083
3020 => x"00002f28",
3084
3021 => x"00002f28",
3085
3022 => x"00002f30",
3086
3023 => x"00002f30",
3087
3024 => x"00002f38",
3088
3025 => x"00002f38",
3089
3026 => x"00002f40",
3090
3027 => x"00002f40",
3091
3028 => x"00002f48",
3092
3029 => x"00002f48",
3093
3030 => x"00002f50",
3094
3031 => x"00002f50",
3095
3032 => x"00002f58",
3096
3033 => x"00002f58",
3097
3034 => x"00002f60",
3098
3035 => x"00002f60",
3099
3036 => x"00002f68",
3100
3037 => x"00002f68",
3101
3038 => x"00002f70",
3102
3039 => x"00002f70",
3103
3040 => x"00002f78",
3104
3041 => x"00002f78",
3105
3042 => x"00002f80",
3106
3043 => x"00002f80",
3107
3044 => x"00002f88",
3108
3045 => x"00002f88",
3109
3046 => x"00002f90",
3110
3047 => x"00002f90",
3111
3048 => x"00002f98",
3112
3049 => x"00002f98",
3113
3050 => x"000027b8",
3114
3051 => x"ffffffff",
3115
3052 => x"00000000",
3116
3053 => x"ffffffff",
3117
3054 => x"00000000",
3118
        others => x"00000000"
3119
);
3120
 
3121
begin
3122
 
3123
process (clk)
3124
begin
3125
        if (clk'event and clk = '1') then
3126
                if (memAWriteEnable = '1') and (memBWriteEnable = '1') and (memAAddr=memBAddr) and (memAWrite/=memBWrite) then
3127
                        report "write collision" severity failure;
3128
                end if;
3129
 
3130
                if (memAWriteEnable = '1') then
3131
                        ram(to_integer(unsigned(memAAddr))) := memAWrite;
3132
                        memARead <= memAWrite;
3133
                else
3134
                        memARead <= ram(to_integer(unsigned(memAAddr)));
3135
                end if;
3136
        end if;
3137
end process;
3138
 
3139
process (clk)
3140
begin
3141
        if (clk'event and clk = '1') then
3142
                if (memBWriteEnable = '1') then
3143
                        ram(to_integer(unsigned(memBAddr))) := memBWrite;
3144
                        memBRead <= memBWrite;
3145
                else
3146
                        memBRead <= ram(to_integer(unsigned(memBAddr)));
3147
                end if;
3148
        end if;
3149
end process;
3150
 
3151
 
3152
 
3153
 
3154
end dualport_ram_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.