OpenCores
URL https://opencores.org/ocsvn/zpu/zpu/trunk

Subversion Repositories zpu

[/] [zpu/] [trunk/] [zpu/] [example/] [sim_small_fpga_top_noint.vhd] - Blame information for rev 93

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 93 oharboe
-- ZPU
2
--
3
-- Copyright 2004-2008 oharboe - Øyvind Harboe - oyvind.harboe@zylin.com
4
-- 
5
-- The FreeBSD license
6
-- 
7
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions
9
-- are met:
10
-- 
11
-- 1. Redistributions of source code must retain the above copyright
12
--    notice, this list of conditions and the following disclaimer.
13
-- 2. Redistributions in binary form must reproduce the above
14
--    copyright notice, this list of conditions and the following
15
--    disclaimer in the documentation and/or other materials
16
--    provided with the distribution.
17
-- 
18
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
19
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
20
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
21
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
22
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
23
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
24
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
25
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
26
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
27
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
28
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
29
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
30
-- 
31
-- The views and conclusions contained in the software and documentation
32
-- are those of the authors and should not be interpreted as representing
33
-- official policies, either expressed or implied, of the ZPU Project.
34
 
35
library IEEE;
36
use IEEE.STD_LOGIC_1164.ALL;
37
use ieee.numeric_std.all;
38
 
39
---- Uncomment the following library declaration if instantiating
40
---- any Xilinx primitives in this code.
41
--library UNISIM;
42
--use UNISIM.VComponents.all;
43
 
44
library work;
45
use work.zpu_config.all;
46
use work.zpupkg.all;
47
 
48
entity fpga_top is
49
end fpga_top;
50
 
51
architecture behave of fpga_top is
52
 
53
 
54
signal clk : std_logic;
55
 
56
signal  areset                  : std_logic := '1';
57
 
58
 
59
component  zpu_io is
60
  generic (
61
           log_file:       string  := "log.txt"
62
          );
63
  port(
64
        clk         : in std_logic;
65
        areset        : in std_logic;
66
                busy : out std_logic;
67
                writeEnable : in std_logic;
68
                readEnable : in std_logic;
69
                write   : in std_logic_vector(wordSize-1 downto 0);
70
                read    : out std_logic_vector(wordSize-1 downto 0);
71
                addr : in std_logic_vector(maxAddrBit downto minAddrBit)
72
                );
73
end component;
74
 
75
 
76
 
77
 
78
 
79
signal                    mem_busy : std_logic;
80
signal                    mem_read : std_logic_vector(wordSize-1 downto 0);
81
signal                    mem_write : std_logic_vector(wordSize-1 downto 0);
82
signal                    mem_addr : std_logic_vector(maxAddrBitIncIO downto 0);
83
signal                    mem_writeEnable : std_logic;
84
signal                    mem_readEnable : std_logic;
85
signal                    mem_writeMask: std_logic_vector(wordBytes-1 downto 0);
86
 
87
signal                    enable : std_logic;
88
 
89
signal                    dram_mem_busy : std_logic;
90
signal                    dram_mem_read : std_logic_vector(wordSize-1 downto 0);
91
signal                    dram_mem_write : std_logic_vector(wordSize-1 downto 0);
92
signal                    dram_mem_writeEnable : std_logic;
93
signal                    dram_mem_readEnable : std_logic;
94
signal                    dram_mem_writeMask: std_logic_vector(wordBytes-1 downto 0);
95
 
96
 
97
signal                    io_busy : std_logic;
98
 
99
signal                    io_mem_read : std_logic_vector(wordSize-1 downto 0);
100
signal                    io_mem_writeEnable : std_logic;
101
signal                    io_mem_readEnable : std_logic;
102
 
103
 
104
signal                    dram_ready : std_logic;
105
signal                    io_ready : std_logic;
106
signal                    io_reading : std_logic;
107
 
108
 
109
 
110
signal break : std_logic;
111
 
112
begin
113
 
114
        zpu: zpu_core port map (
115
                clk => clk ,
116
                areset => areset,
117
                enable => enable,
118
                in_mem_busy => mem_busy,
119
                mem_read => mem_read,
120
                mem_write => mem_write,
121
                out_mem_addr => mem_addr,
122
                out_mem_writeEnable => mem_writeEnable,
123
                out_mem_readEnable => mem_readEnable,
124
                mem_writeMask => mem_writeMask,
125
                interrupt => '0',
126
                break  => break);
127
 
128
 
129
        ioMap: zpu_io port map (
130
        clk => clk,
131
                areset => areset,
132
                busy => io_busy,
133
                writeEnable => io_mem_writeEnable,
134
                readEnable => io_mem_readEnable,
135
                write   => mem_write,
136
                read    => io_mem_read,
137
                addr => mem_addr(maxAddrBit downto minAddrBit)
138
        );
139
 
140
        dram_mem_writeEnable <= mem_writeEnable and not mem_addr(ioBit);
141
        dram_mem_readEnable <= mem_readEnable and not mem_addr(ioBit);
142
        io_mem_writeEnable <= mem_writeEnable and mem_addr(ioBit);
143
        io_mem_readEnable <= mem_readEnable and mem_addr(ioBit);
144
        mem_busy <= io_busy;
145
 
146
 
147
 
148
        -- Memory reads either come from IO or DRAM. We need to pick the right one.
149
        memorycontrol:
150
        process(dram_mem_read, dram_ready, io_ready, io_mem_read)
151
        begin
152
                mem_read <= (others => 'U');
153
                if dram_ready='1' then
154
                        mem_read <= dram_mem_read;
155
                end if;
156
 
157
                if io_ready='1' then
158
                        mem_read <= (others => '0');
159
                        mem_read <= io_mem_read;
160
                end if;
161
        end process;
162
 
163
 
164
 
165
        io_ready <= (io_reading or io_mem_readEnable) and not io_busy;
166
 
167
        memoryControlSync:
168
        process(clk, areset)
169
        begin
170
                if areset = '1' then
171
                        enable <= '0';
172
                        io_reading <= '0';
173
                        dram_ready <= '0';
174
 
175
                elsif (clk'event and clk = '1') then
176
                        enable <= '1';
177
                        io_reading <= io_busy or io_mem_readEnable;
178
                        dram_ready<=dram_mem_readEnable;
179
                end if;
180
        end process;
181
 
182
        -- wiggle the clock @ 100MHz
183
        clock : PROCESS
184
           begin
185
                        clk <= '0';
186
                   wait for 5 ns;
187
                        clk <= '1';
188
                   wait for 5 ns;
189
                   areset <= '0';
190
        end PROCESS clock;
191
 
192
 
193
end behave;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.