OpenCores
URL https://opencores.org/ocsvn/zx_ula/zx_ula/trunk

Subversion Repositories zx_ula

[/] [zx_ula/] [branches/] [xilinx/] [spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/] [rom.v] - Blame information for rev 29

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 mcleod_ide
/*******************************************************************************
2
*     (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved.              *
3
*                                                                              *
4
*     This file contains confidential and proprietary information              *
5
*     of Xilinx, Inc. and is protected under U.S. and                          *
6
*     international copyright and other intellectual property                  *
7
*     laws.                                                                    *
8
*                                                                              *
9
*     DISCLAIMER                                                               *
10
*     This disclaimer is not a license and does not grant any                  *
11
*     rights to the materials distributed herewith. Except as                  *
12
*     otherwise provided in a valid license issued to you by                   *
13
*     Xilinx, and to the maximum extent permitted by applicable                *
14
*     law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND                  *
15
*     WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES              *
16
*     AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING                *
17
*     BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-                   *
18
*     INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and                 *
19
*     (2) Xilinx shall not be liable (whether in contract or tort,             *
20
*     including negligence, or under any other theory of                       *
21
*     liability) for any loss or damage of any kind or nature                  *
22
*     related to, arising under or in connection with these                    *
23
*     materials, including for any direct, or any indirect,                    *
24
*     special, incidental, or consequential loss or damage                     *
25
*     (including loss of data, profits, goodwill, or any type of               *
26
*     loss or damage suffered as a result of any action brought                *
27
*     by a third party) even if such damage or loss was                        *
28
*     reasonably foreseeable or Xilinx had been advised of the                 *
29
*     possibility of the same.                                                 *
30
*                                                                              *
31
*     CRITICAL APPLICATIONS                                                    *
32
*     Xilinx products are not designed or intended to be fail-                 *
33
*     safe, or for use in any application requiring fail-safe                  *
34
*     performance, such as life-support or safety devices or                   *
35
*     systems, Class III medical devices, nuclear facilities,                  *
36
*     applications related to the deployment of airbags, or any                *
37
*     other applications that could lead to death, personal                    *
38
*     injury, or severe property or environmental damage                       *
39
*     (individually and collectively, "Critical                                *
40
*     Applications"). Customer assumes the sole risk and                       *
41
*     liability of any use of Xilinx products in Critical                      *
42
*     Applications, subject only to applicable laws and                        *
43
*     regulations governing limitations on product liability.                  *
44
*                                                                              *
45
*     THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS                 *
46
*     PART OF THIS FILE AT ALL TIMES.                                          *
47
*******************************************************************************/
48
// The synthesis directives "translate_off/translate_on" specified below are
49
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
50
// tools. Ensure they are correct for your synthesis tool(s).
51
 
52
// You must compile the wrapper file rom.v when simulating
53
// the core, rom. When compiling the wrapper file, be sure to
54
// reference the XilinxCoreLib Verilog simulation library. For detailed
55
// instructions, please refer to the "CORE Generator Help".
56
 
57
`timescale 1ns/1ps
58
 
59
module rom(
60
        clka,
61
        ena,
62
        addra,
63
        douta);
64
 
65
 
66
input clka;
67
input ena;
68
input [13 : 0] addra;
69
output [7 : 0] douta;
70
 
71
// synthesis translate_off
72
 
73
      BLK_MEM_GEN_V4_3 #(
74
                .C_ADDRA_WIDTH(14),
75
                .C_ADDRB_WIDTH(14),
76
                .C_ALGORITHM(1),
77
                .C_BYTE_SIZE(9),
78
                .C_COMMON_CLK(0),
79
                .C_DEFAULT_DATA("0"),
80
                .C_DISABLE_WARN_BHV_COLL(0),
81
                .C_DISABLE_WARN_BHV_RANGE(0),
82
                .C_FAMILY("spartan3"),
83
                .C_HAS_ENA(1),
84
                .C_HAS_ENB(0),
85
                .C_HAS_INJECTERR(0),
86
                .C_HAS_MEM_OUTPUT_REGS_A(0),
87
                .C_HAS_MEM_OUTPUT_REGS_B(0),
88
                .C_HAS_MUX_OUTPUT_REGS_A(0),
89
                .C_HAS_MUX_OUTPUT_REGS_B(0),
90
                .C_HAS_REGCEA(0),
91
                .C_HAS_REGCEB(0),
92
                .C_HAS_RSTA(0),
93
                .C_HAS_RSTB(0),
94
                .C_HAS_SOFTECC_INPUT_REGS_A(0),
95
                .C_HAS_SOFTECC_OUTPUT_REGS_B(0),
96
                .C_INITA_VAL("0"),
97
                .C_INITB_VAL("0"),
98
                .C_INIT_FILE_NAME("rom.mif"),
99
                .C_LOAD_INIT_FILE(1),
100
                .C_MEM_TYPE(3),
101
                .C_MUX_PIPELINE_STAGES(0),
102
                .C_PRIM_TYPE(1),
103
                .C_READ_DEPTH_A(16384),
104
                .C_READ_DEPTH_B(16384),
105
                .C_READ_WIDTH_A(8),
106
                .C_READ_WIDTH_B(8),
107
                .C_RSTRAM_A(0),
108
                .C_RSTRAM_B(0),
109
                .C_RST_PRIORITY_A("CE"),
110
                .C_RST_PRIORITY_B("CE"),
111
                .C_RST_TYPE("SYNC"),
112
                .C_SIM_COLLISION_CHECK("ALL"),
113
                .C_USE_BYTE_WEA(0),
114
                .C_USE_BYTE_WEB(0),
115
                .C_USE_DEFAULT_DATA(0),
116
                .C_USE_ECC(0),
117
                .C_USE_SOFTECC(0),
118
                .C_WEA_WIDTH(1),
119
                .C_WEB_WIDTH(1),
120
                .C_WRITE_DEPTH_A(16384),
121
                .C_WRITE_DEPTH_B(16384),
122
                .C_WRITE_MODE_A("WRITE_FIRST"),
123
                .C_WRITE_MODE_B("WRITE_FIRST"),
124
                .C_WRITE_WIDTH_A(8),
125
                .C_WRITE_WIDTH_B(8),
126
                .C_XDEVICEFAMILY("spartan3"))
127
        inst (
128
                .CLKA(clka),
129
                .ENA(ena),
130
                .ADDRA(addra),
131
                .DOUTA(douta),
132
                .RSTA(),
133
                .REGCEA(),
134
                .WEA(),
135
                .DINA(),
136
                .CLKB(),
137
                .RSTB(),
138
                .ENB(),
139
                .REGCEB(),
140
                .WEB(),
141
                .ADDRB(),
142
                .DINB(),
143
                .DOUTB(),
144
                .INJECTSBITERR(),
145
                .INJECTDBITERR(),
146
                .SBITERR(),
147
                .DBITERR(),
148
                .RDADDRECC());
149
 
150
 
151
// synthesis translate_on
152
 
153
// XST black box declaration
154
// box_type "black_box"
155
// synthesis attribute box_type of rom is "black_box"
156
 
157
endmodule
158
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.