OpenCores
URL https://opencores.org/ocsvn/zx_ula/zx_ula/trunk

Subversion Repositories zx_ula

[/] [zx_ula/] [trunk/] [fpga_version/] [ula_test_for_ise_and_isim/] [test_ula.v] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 mcleod_ide
`timescale 1ns / 1ps
2
 
3
////////////////////////////////////////////////////////////////////////////////
4
// Company: 
5
// Engineer:
6
//
7
// Create Date:   20:16:22 04/08/2012
8
// Design Name:   ula
9
// Module Name:   C:/proyectos_xilinx/ulaplus/test_reference_ula.v
10
// Project Name:  ulaplus
11
// Target Device:  
12
// Tool versions:  
13
// Description: 
14
//
15
// Verilog Test Fixture created by ISE for module: ula
16
//
17
// Dependencies:
18
// 
19
// Revision:
20
// Revision 0.01 - File Created
21
// Additional Comments:
22
// 
23
////////////////////////////////////////////////////////////////////////////////
24
 
25 21 mcleod_ide
module test_standard_ula;
26 5 mcleod_ide
 
27
        // Inputs
28
        reg clk14;
29
        wire [15:0] a;
30
        wire [7:0] din;
31
        wire mreq_n;
32
        wire iorq_n;
33
        wire wr_n;
34
        wire rfsh_n;
35
        reg [7:0] vramdout;
36
        reg ear;
37
        reg [4:0] kbcolumns;
38
 
39
        // Outputs
40
        wire [7:0] dout;
41
        wire clkcpu;
42
        wire msk_int_n;
43
        wire [13:0] va;
44
        wire [7:0] vramdin;
45
        wire vramoe;
46
        wire vramcs;
47
        wire vramwe;
48
        wire mic;
49
        wire spk;
50
        wire [7:0] kbrows;
51
        wire r;
52
        wire g;
53
        wire b;
54
        wire i;
55
        wire csync;
56
 
57
        // Instantiate the Unit Under Test (UUT)
58
        ula uut (
59
                .clk14(clk14),
60
                .a(a),
61
                .din(din),
62
                .dout(dout),
63
                .mreq_n(mreq_n),
64
                .iorq_n(iorq_n),
65
                .rd_n(1'b1),
66
                .wr_n(wr_n),
67
                .rfsh_n(rfsh_n),
68
                .clkcpu(clkcpu),
69
                .msk_int_n(msk_int_n),
70
                .va(va),
71
                .vramdout(vramdout),
72
                .vramdin(vramdin),
73
                .vramoe(vramoe),
74
                .vramcs(vramcs),
75
                .vramwe(vramwe),
76
                .ear(ear),
77
                .mic(mic),
78
                .spk(spk),
79
                .kbrows(kbrows),
80
                .kbcolumns(kbcolumns),
81
                .r(r),
82
                .g(g),
83
                .b(b),
84
                .i(i),
85
                .csync(csync)
86
        );
87
 
88
        z80memio cpu (
89
                .clk(clkcpu),
90
           .a(a),
91
                .d(din),
92
                .mreq_n(mreq_n),
93
                .iorq_n(iorq_n),
94
                .wr_n(wr_n),
95
                .rfsh_n(rfsh_n)
96
        );
97
 
98
        initial begin
99
                // Initialize Inputs
100
                clk14 = 0;
101
                vramdout = 8'b01010101;
102
                ear = 0;
103
                kbcolumns = 0;
104
        end
105
 
106
        always begin
107
                clk14 = #35.714286 ~clk14;
108
        end
109
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.