OpenCores
URL https://opencores.org/ocsvn/zx_ula/zx_ula/trunk

Subversion Repositories zx_ula

[/] [zx_ula/] [trunk/] [fpga_version/] [ula_test_for_ise_and_isim/] [test_ulaplus.v] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 mcleod_ide
`timescale 1ns / 1ps
2
 
3
////////////////////////////////////////////////////////////////////////////////
4
// Company: 
5
// Engineer:
6
//
7
// Create Date:   20:16:22 04/08/2012
8
// Design Name:   ula
9
// Module Name:   C:/proyectos_xilinx/ulaplus/test_reference_ula.v
10
// Project Name:  ulaplus
11
// Target Device:  
12
// Tool versions:  
13
// Description: 
14
//
15
// Verilog Test Fixture created by ISE for module: ula
16
//
17
// Dependencies:
18
// 
19
// Revision:
20
// Revision 0.01 - File Created
21
// Additional Comments:
22
// 
23
////////////////////////////////////////////////////////////////////////////////
24
 
25
module test_ulaplus;
26
 
27
        // Inputs
28
        reg clk14;
29
        reg reset;
30
        wire [15:0] a;
31
        wire [7:0] din;
32
        wire mreq_n;
33
        wire iorq_n;
34
        wire wr_n;
35
        wire rfsh_n;
36
        reg [7:0] vramdout;
37
        reg ear;
38
        reg [4:0] kbcolumns;
39
 
40
        // Outputs
41
        wire [7:0] dout;
42
        wire clkcpu;
43
        wire msk_int_n;
44
        wire [13:0] va;
45
        wire [7:0] vramdin;
46
        wire vramoe;
47
        wire vramcs;
48
        wire vramwe;
49
        wire mic;
50
        wire spk;
51
        wire [7:0] kbrows;
52
        wire r;
53
        wire g;
54
        wire b;
55
        wire i;
56
        wire [7:0] rgbulaplus;
57
        wire ulaplus_enabled;
58
        wire csync;
59
 
60
        // Instantiate the Unit Under Test (UUT)
61
        ulaplus uut (
62
                .clk14(clk14),
63
                .reset(reset),
64
                .a(a),
65
                .din(din),
66
                .dout(dout),
67
                .mreq_n(mreq_n),
68
                .iorq_n(iorq_n),
69
                .rd_n(1'b1),
70
                .wr_n(wr_n),
71
                .rfsh_n(rfsh_n),
72
                .clkcpu(clkcpu),
73
                .msk_int_n(msk_int_n),
74
                .va(va),
75
                .vramdout(vramdout),
76
                .vramdin(vramdin),
77
                .vramoe(vramoe),
78
                .vramcs(vramcs),
79
                .vramwe(vramwe),
80
                .ear(ear),
81
                .mic(mic),
82
                .spk(spk),
83
                .kbrows(kbrows),
84
                .kbcolumns(kbcolumns),
85
                .r(r),
86
                .g(g),
87
                .b(b),
88
                .i(i),
89
                .rgbulaplus(rgbulaplus),
90
                .ulaplus_enabled(ulaplus_enabled),
91
                .csync(csync)
92
        );
93
 
94
        z80memio cpu (
95
                .clk(clkcpu),
96
           .a(a),
97
                .d(din),
98
                .mreq_n(mreq_n),
99
                .iorq_n(iorq_n),
100
                .wr_n(wr_n),
101
                .rfsh_n(rfsh_n)
102
        );
103
 
104
        initial begin
105
                // Initialize Inputs
106
                clk14 = 0;
107
                vramdout = 8'b01010101;
108
                ear = 0;
109
                kbcolumns = 0;
110
                reset = 1;
111
                reset = #20 0;
112
        end
113
 
114
        always begin
115
                clk14 = #35.714286 ~clk14;
116
        end
117
 
118
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.