OpenCores
URL https://opencores.org/ocsvn/color_converter/color_converter/trunk

Subversion Repositories color_converter

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 1 to Rev 2
    Reverse comparison

Rev 1 → Rev 2

/trunk/COPYNG
0,0 → 1,350
The Multiplier3x3 VHDL source is licensed under the
GNU LESSER GENERAL PUBLIC LICENSE VERSION 2.1, which is included below.
 
 
TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION
 
0. This License Agreement applies to any software library or other
program which contains a notice placed by the copyright holder or
other authorized party saying it may be distributed under the terms of
this Lesser General Public License (also called "this License").
Each licensee is addressed as "you".
 
A "library" means a collection of software functions and/or data
prepared so as to be conveniently linked with application programs
(which use some of those functions and data) to form executables.
 
The "Library", below, refers to any such software library or work
which has been distributed under these terms. A "work based on the
Library" means either the Library or any derivative work under
copyright law: that is to say, a work containing the Library or a
portion of it, either verbatim or with modifications and/or translated
straightforwardly into another language. (Hereinafter, translation is
included without limitation in the term "modification".)
 
"Source code" for a work means the preferred form of the work for
making modifications to it. For a library, complete source code means
all the source code for all modules it contains, plus any associated
interface definition files, plus the scripts used to control compilation
and installation of the library.
 
Activities other than copying, distribution and modification are not
covered by this License; they are outside its scope. The act of
running a program using the Library is not restricted, and output from
such a program is covered only if its contents constitute a work based
on the Library (independent of the use of the Library in a tool for
writing it). Whether that is true depends on what the Library does
and what the program that uses the Library does.
1. You may copy and distribute verbatim copies of the Library's
complete source code as you receive it, in any medium, provided that
you conspicuously and appropriately publish on each copy an
appropriate copyright notice and disclaimer of warranty; keep intact
all the notices that refer to this License and to the absence of any
warranty; and distribute a copy of this License along with the
Library.
 
You may charge a fee for the physical act of transferring a copy,
and you may at your option offer warranty protection in exchange for a
fee.
2. You may modify your copy or copies of the Library or any portion
of it, thus forming a work based on the Library, and copy and
distribute such modifications or work under the terms of Section 1
above, provided that you also meet all of these conditions:
 
a) The modified work must itself be a software library.
 
b) You must cause the files modified to carry prominent notices
stating that you changed the files and the date of any change.
 
c) You must cause the whole of the work to be licensed at no
charge to all third parties under the terms of this License.
 
d) If a facility in the modified Library refers to a function or a
table of data to be supplied by an application program that uses
the facility, other than as an argument passed when the facility
is invoked, then you must make a good faith effort to ensure that,
in the event an application does not supply such function or
table, the facility still operates, and performs whatever part of
its purpose remains meaningful.
 
(For example, a function in a library to compute square roots has
a purpose that is entirely well-defined independent of the
application. Therefore, Subsection 2d requires that any
application-supplied function or table used by this function must
be optional: if the application does not supply it, the square
root function must still compute square roots.)
 
These requirements apply to the modified work as a whole. If
identifiable sections of that work are not derived from the Library,
and can be reasonably considered independent and separate works in
themselves, then this License, and its terms, do not apply to those
sections when you distribute them as separate works. But when you
distribute the same sections as part of a whole which is a work based
on the Library, the distribution of the whole must be on the terms of
this License, whose permissions for other licensees extend to the
entire whole, and thus to each and every part regardless of who wrote
it.
 
Thus, it is not the intent of this section to claim rights or contest
your rights to work written entirely by you; rather, the intent is to
exercise the right to control the distribution of derivative or
collective works based on the Library.
 
In addition, mere aggregation of another work not based on the Library
with the Library (or with a work based on the Library) on a volume of
a storage or distribution medium does not bring the other work under
the scope of this License.
 
3. You may opt to apply the terms of the ordinary GNU General Public
License instead of this License to a given copy of the Library. To do
this, you must alter all the notices that refer to this License, so
that they refer to the ordinary GNU General Public License, version 2,
instead of to this License. (If a newer version than version 2 of the
ordinary GNU General Public License has appeared, then you can specify
that version instead if you wish.) Do not make any other change in
these notices.
Once this change is made in a given copy, it is irreversible for
that copy, so the ordinary GNU General Public License applies to all
subsequent copies and derivative works made from that copy.
 
This option is useful when you wish to copy part of the code of
the Library into a program that is not a library.
 
4. You may copy and distribute the Library (or a portion or
derivative of it, under Section 2) in object code or executable form
under the terms of Sections 1 and 2 above provided that you accompany
it with the complete corresponding machine-readable source code, which
must be distributed under the terms of Sections 1 and 2 above on a
medium customarily used for software interchange.
 
If distribution of object code is made by offering access to copy
from a designated place, then offering equivalent access to copy the
source code from the same place satisfies the requirement to
distribute the source code, even though third parties are not
compelled to copy the source along with the object code.
 
5. A program that contains no derivative of any portion of the
Library, but is designed to work with the Library by being compiled or
linked with it, is called a "work that uses the Library". Such a
work, in isolation, is not a derivative work of the Library, and
therefore falls outside the scope of this License.
 
However, linking a "work that uses the Library" with the Library
creates an executable that is a derivative of the Library (because it
contains portions of the Library), rather than a "work that uses the
library". The executable is therefore covered by this License.
Section 6 states terms for distribution of such executables.
 
When a "work that uses the Library" uses material from a header file
that is part of the Library, the object code for the work may be a
derivative work of the Library even though the source code is not.
Whether this is true is especially significant if the work can be
linked without the Library, or if the work is itself a library. The
threshold for this to be true is not precisely defined by law.
 
If such an object file uses only numerical parameters, data
structure layouts and accessors, and small macros and small inline
functions (ten lines or less in length), then the use of the object
file is unrestricted, regardless of whether it is legally a derivative
work. (Executables containing this object code plus portions of the
Library will still fall under Section 6.)
 
Otherwise, if the work is a derivative of the Library, you may
distribute the object code for the work under the terms of Section 6.
Any executables containing that work also fall under Section 6,
whether or not they are linked directly with the Library itself.
6. As an exception to the Sections above, you may also combine or
link a "work that uses the Library" with the Library to produce a
work containing portions of the Library, and distribute that work
under terms of your choice, provided that the terms permit
modification of the work for the customer's own use and reverse
engineering for debugging such modifications.
 
You must give prominent notice with each copy of the work that the
Library is used in it and that the Library and its use are covered by
this License. You must supply a copy of this License. If the work
during execution displays copyright notices, you must include the
copyright notice for the Library among them, as well as a reference
directing the user to the copy of this License. Also, you must do one
of these things:
 
a) Accompany the work with the complete corresponding
machine-readable source code for the Library including whatever
changes were used in the work (which must be distributed under
Sections 1 and 2 above); and, if the work is an executable linked
with the Library, with the complete machine-readable "work that
uses the Library", as object code and/or source code, so that the
user can modify the Library and then relink to produce a modified
executable containing the modified Library. (It is understood
that the user who changes the contents of definitions files in the
Library will not necessarily be able to recompile the application
to use the modified definitions.)
 
b) Use a suitable shared library mechanism for linking with the
Library. A suitable mechanism is one that (1) uses at run time a
copy of the library already present on the user's computer system,
rather than copying library functions into the executable, and (2)
will operate properly with a modified version of the library, if
the user installs one, as long as the modified version is
interface-compatible with the version that the work was made with.
 
c) Accompany the work with a written offer, valid for at
least three years, to give the same user the materials
specified in Subsection 6a, above, for a charge no more
than the cost of performing this distribution.
 
d) If distribution of the work is made by offering access to copy
from a designated place, offer equivalent access to copy the above
specified materials from the same place.
 
e) Verify that the user has already received a copy of these
materials or that you have already sent this user a copy.
 
For an executable, the required form of the "work that uses the
Library" must include any data and utility programs needed for
reproducing the executable from it. However, as a special exception,
the materials to be distributed need not include anything that is
normally distributed (in either source or binary form) with the major
components (compiler, kernel, and so on) of the operating system on
which the executable runs, unless that component itself accompanies
the executable.
 
It may happen that this requirement contradicts the license
restrictions of other proprietary libraries that do not normally
accompany the operating system. Such a contradiction means you cannot
use both them and the Library together in an executable that you
distribute.
7. You may place library facilities that are a work based on the
Library side-by-side in a single library together with other library
facilities not covered by this License, and distribute such a combined
library, provided that the separate distribution of the work based on
the Library and of the other library facilities is otherwise
permitted, and provided that you do these two things:
 
a) Accompany the combined library with a copy of the same work
based on the Library, uncombined with any other library
facilities. This must be distributed under the terms of the
Sections above.
 
b) Give prominent notice with the combined library of the fact
that part of it is a work based on the Library, and explaining
where to find the accompanying uncombined form of the same work.
 
8. You may not copy, modify, sublicense, link with, or distribute
the Library except as expressly provided under this License. Any
attempt otherwise to copy, modify, sublicense, link with, or
distribute the Library is void, and will automatically terminate your
rights under this License. However, parties who have received copies,
or rights, from you under this License will not have their licenses
terminated so long as such parties remain in full compliance.
 
9. You are not required to accept this License, since you have not
signed it. However, nothing else grants you permission to modify or
distribute the Library or its derivative works. These actions are
prohibited by law if you do not accept this License. Therefore, by
modifying or distributing the Library (or any work based on the
Library), you indicate your acceptance of this License to do so, and
all its terms and conditions for copying, distributing or modifying
the Library or works based on it.
 
10. Each time you redistribute the Library (or any work based on the
Library), the recipient automatically receives a license from the
original licensor to copy, distribute, link with or modify the Library
subject to these terms and conditions. You may not impose any further
restrictions on the recipients' exercise of the rights granted herein.
You are not responsible for enforcing compliance by third parties with
this License.
11. If, as a consequence of a court judgment or allegation of patent
infringement or for any other reason (not limited to patent issues),
conditions are imposed on you (whether by court order, agreement or
otherwise) that contradict the conditions of this License, they do not
excuse you from the conditions of this License. If you cannot
distribute so as to satisfy simultaneously your obligations under this
License and any other pertinent obligations, then as a consequence you
may not distribute the Library at all. For example, if a patent
license would not permit royalty-free redistribution of the Library by
all those who receive copies directly or indirectly through you, then
the only way you could satisfy both it and this License would be to
refrain entirely from distribution of the Library.
 
If any portion of this section is held invalid or unenforceable under any
particular circumstance, the balance of the section is intended to apply,
and the section as a whole is intended to apply in other circumstances.
 
It is not the purpose of this section to induce you to infringe any
patents or other property right claims or to contest validity of any
such claims; this section has the sole purpose of protecting the
integrity of the free software distribution system which is
implemented by public license practices. Many people have made
generous contributions to the wide range of software distributed
through that system in reliance on consistent application of that
system; it is up to the author/donor to decide if he or she is willing
to distribute software through any other system and a licensee cannot
impose that choice.
 
This section is intended to make thoroughly clear what is believed to
be a consequence of the rest of this License.
 
12. If the distribution and/or use of the Library is restricted in
certain countries either by patents or by copyrighted interfaces, the
original copyright holder who places the Library under this License may add
an explicit geographical distribution limitation excluding those countries,
so that distribution is permitted only in or among countries not thus
excluded. In such case, this License incorporates the limitation as if
written in the body of this License.
 
13. The Free Software Foundation may publish revised and/or new
versions of the Lesser General Public License from time to time.
Such new versions will be similar in spirit to the present version,
but may differ in detail to address new problems or concerns.
 
Each version is given a distinguishing version number. If the Library
specifies a version number of this License which applies to it and
"any later version", you have the option of following the terms and
conditions either of that version or of any later version published by
the Free Software Foundation. If the Library does not specify a
license version number, you may choose any version ever published by
the Free Software Foundation.
14. If you wish to incorporate parts of the Library into other free
programs whose distribution conditions are incompatible with these,
write to the author to ask for permission. For software which is
copyrighted by the Free Software Foundation, write to the Free
Software Foundation; we sometimes make exceptions for this. Our
decision will be guided by the two goals of preserving the free status
of all derivatives of our free software and of promoting the sharing
and reuse of software generally.
 
NO WARRANTY
 
15. BECAUSE THE LIBRARY IS LICENSED FREE OF CHARGE, THERE IS NO
WARRANTY FOR THE LIBRARY, TO THE EXTENT PERMITTED BY APPLICABLE LAW.
EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR
OTHER PARTIES PROVIDE THE LIBRARY "AS IS" WITHOUT WARRANTY OF ANY
KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE
IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE
LIBRARY IS WITH YOU. SHOULD THE LIBRARY PROVE DEFECTIVE, YOU ASSUME
THE COST OF ALL NECESSARY SERVICING, REPAIR OR CORRECTION.
 
16. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN
WRITING WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY
AND/OR REDISTRIBUTE THE LIBRARY AS PERMITTED ABOVE, BE LIABLE TO YOU
FOR DAMAGES, INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR
CONSEQUENTIAL DAMAGES ARISING OUT OF THE USE OR INABILITY TO USE THE
LIBRARY (INCLUDING BUT NOT LIMITED TO LOSS OF DATA OR DATA BEING
RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD PARTIES OR A
FAILURE OF THE LIBRARY TO OPERATE WITH ANY OTHER SOFTWARE), EVEN IF
SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH
DAMAGES.
 
END OF TERMS AND CONDITIONS
 
 
 
/trunk/bench/vhdl/colorconv_tb.vhd
0,0 → 1,285
-- ***** BEGIN LICENSE BLOCK *****
----------------------------------------------------------------------
---- ----
---- Color Converter IP Core ----
---- ----
---- This file is part of the matrix 3x3 multiplier project ----
---- http://www.opencores.org/projects.cgi/web/color_converter/ ----
---- ----
---- Description ----
---- True matrix 3x3 color converter ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author(s): ----
---- - Michael Tsvetkov, michland@opencores.org ----
---- - Vyacheslav Gulyaev, vv_gulyaev@opencores.org ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2006 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/lgpl.txt or write to the ----
---- Free Software Foundation, Inc., 51 Franklin Street, ----
---- Fifth Floor, Boston, MA 02110-1301 USA ----
---- ----
----------------------------------------------------------------------
-- * ***** END LICENSE BLOCK ***** */
 
 
 
-----------------------------------------------------------------------
--
-- There is testbench for different color conversions by the mult3x3 component.
--
-- Input stimulus are read from the "X.txt" file - pure ASCII coded data.
-- Output results are written to the "Y.txt" file - pure ASCII coded data.
-- See Matlab's m-file "read_image.m" in ./fv/ dir for generating input stimulus from
-- the real image. Also Matlab is used for formal verification: comparing mult3x3 results
-- with matlab's functions "rgb2ycbcr" and "ycbcr2rgb" for data_width = 8 bit and
-- conversions ComputerRGB_to_YCbCr601 and YCbCr601_to_ComputerRGB.
--
-- Simulator software - ModelSim 6.1.
--
-----------------------------------------------------------------------
 
LIBRARY ieee;
LIBRARY std_developerskit;
USE ieee.std_logic_1164.all;
USE std.textio.all;
USE IEEE.std_logic_arith.all;
USE std_developerskit.std_iopak.all;
use work.ccfactors_pkg.all;
entity tb is
end tb;
 
ARCHITECTURE a OF tb IS
 
-- select matrix factors for predefined convertions. See ccfactors_pkg.
CONSTANT DATA_WIDTH : INTEGER :=8;
CONSTANT CONVERTION : COLOR_CONVERTION := ComputerRGB_to_YCbCr601;
 
SIGNAL FORMAT_STR : string(1 to 3) :="%2x";
 
-- for "onion.png" image from Matlab7 installation
CONSTANT IMAGE_WIDTH : INTEGER := 198;
CONSTANT ROW_NUMBER : INTEGER := 135;
CONSTANT CLOCK_PERIOD : TIME := 50 ns;
 
SIGNAL clk : STD_LOGIC;
SIGNAL rstn : STD_LOGIC;
 
SIGNAL x1,x2,x3 : UNSIGNED(DATA_WIDTH-1 DOWNTO 0);
SIGNAL x1bv,x2bv,x3bv : BIT_VECTOR(DATA_WIDTH-1 DOWNTO 0);
 
SIGNAL y1,y2,y3 : UNSIGNED(DATA_WIDTH-1 DOWNTO 0);
SIGNAL y1c,y2c,y3c : SIGNED(INT_FACTORS_PART-1 DOWNTO 0);
SIGNAL y1bv,y2bv,y3bv : BIT_VECTOR(DATA_WIDTH-1 DOWNTO 0);
 
SIGNAL DATA_ENA : STD_LOGIC;
SIGNAL DOUT_RDY : STD_LOGIC;
 
 
BEGIN
 
---------- READ_DATA FROM FILE PROCESS --------------------------
READ_DATA: PROCESS(CLK, RSTN)
FILE file_in : ASCII_TEXT IS "X.txt";
VARIABLE digits_str1 : string(1 to (DATA_WIDTH/4)+1);
VARIABLE digits_str2 : string(1 to (DATA_WIDTH/4)+1);
VARIABLE digits_str3 : string(1 to (DATA_WIDTH/4)+1);
BEGIN
 
if RSTN = '0' THEN
DATA_ENA <= '0';
elsif rising_edge(clk) then
 
if NOT endfile(file_in) THEN
 
fscan (file_in, "%x %x %x", digits_str1, digits_str2, digits_str3);
 
if digits_str1(1) /= NUL then
x1bv <= From_HexString (digits_str1);
x2bv <= From_HexString (digits_str2);
x3bv <= From_HexString (digits_str3);
end if;
 
DATA_ENA <= '1';
 
ELSE
DATA_ENA <= '0';
END IF;
END IF;
 
END PROCESS READ_DATA;
 
 
---------- WRITE_RESULT TO FILE PROCESS --------------------------
o2: IF DATA_WIDTH/4 = 2 GENERATE
FORMAT_STR <= "%2x";
END GENERATE o2;
 
o3: IF DATA_WIDTH/4 = 3 GENERATE
FORMAT_STR <= "%3x";
END GENERATE o3;
 
o4: IF DATA_WIDTH/4 = 4 GENERATE
FORMAT_STR <= "%4x";
END GENERATE o4;
 
WRITE_RESULT: PROCESS(CLK, RSTN)
FILE file_out : ASCII_TEXT IS OUT "Y.txt";
VARIABLE digit_out1 : string(1 to (DATA_WIDTH/4)):=(others=>'0');
VARIABLE digit_out2 : string(1 to (DATA_WIDTH/4)):=(others=>'0');
VARIABLE digit_out3 : string(1 to (DATA_WIDTH/4)):=(others=>'0');
VARIABLE i,k : INTEGER;
BEGIN
 
if RSTN = '0' THEN
i := 0;k:=1;
elsif rising_edge(clk) then
if DOUT_RDY = '1' then
if k<=ROW_NUMBER then
i:=i+1;
 
digit_out1 :=To_string(y1bv,FORMAT_STR);
digit_out2 :=To_string(y2bv,FORMAT_STR);
digit_out3 :=To_string(y3bv,FORMAT_STR);
 
fprint(file_out,"%s %s %s ", digit_out1, digit_out2, digit_out3);
 
end if;
 
if i = IMAGE_WIDTH then
i := 0; k:=k+1;
fprint(file_out,"\n");
end if;
end if;
end if;
END PROCESS WRITE_RESULT;
 
 
x1 <= UNSIGNED(TO_STDLOGICVECTOR(x1bv));
x2 <= UNSIGNED(TO_STDLOGICVECTOR(x2bv));
x3 <= UNSIGNED(TO_STDLOGICVECTOR(x3bv));
 
y1bv<=To_Bitvector(STD_LOGIC_VECTOR(y1));
y2bv<=To_Bitvector(STD_LOGIC_VECTOR(y2));
y3bv<=To_Bitvector(STD_LOGIC_VECTOR(y3));
 
--------------------------------------------------------------------
-- instantiate the mult3x3_fullcomponent
--------------------------------------------------------------------
 
gen1:IF CONVERTION = ComputerRGB_to_YCbCr601 GENERATE
 
cconv : entity work.colorconv(a)
GENERIC MAP( DATA_WIDTH)
PORT MAP(
clk => clk,
rstn => rstn,
data_ena => DATA_ENA,
dout_rdy => DOUT_RDY,
x1 => x1,
x2 => x2,
x3 => x3,
a11 => crgb2ycbcr601_a11,
a12 => crgb2ycbcr601_a12,
a13 => crgb2ycbcr601_a13,
a21 => crgb2ycbcr601_a21,
a22 => crgb2ycbcr601_a22,
a23 => crgb2ycbcr601_a23,
a31 => crgb2ycbcr601_a31,
a32 => crgb2ycbcr601_a32,
a33 => crgb2ycbcr601_a33,
b1x => crgb2ycbcr601_b1x,
b2x => crgb2ycbcr601_b2x,
b3x => crgb2ycbcr601_b3x,
b1y => crgb2ycbcr601_b1y,
b2y => crgb2ycbcr601_b2y,
b3y => crgb2ycbcr601_b3y,
y1c => y1c,
y2c => y2c,
y3c => y3c,
y1 => y1,
y2 => y2,
y3 => y3
);
END GENERATE gen1;
 
gen2:IF CONVERTION = YCbCr601_to_ComputerRGB GENERATE
 
cconv : entity work.colorconv(a)
GENERIC MAP( DATA_WIDTH )
PORT MAP(
clk => clk,
rstn => rstn,
data_ena => DATA_ENA,
dout_rdy => DOUT_RDY,
x1 => x1,
x2 => x2,
x3 => x3,
a11 => ycbcr601_crgb_a11,
a12 => ycbcr601_crgb_a12,
a13 => ycbcr601_crgb_a13,
a21 => ycbcr601_crgb_a21,
a22 => ycbcr601_crgb_a22,
a23 => ycbcr601_crgb_a23,
a31 => ycbcr601_crgb_a31,
a32 => ycbcr601_crgb_a32,
a33 => ycbcr601_crgb_a33,
b1x => ycbcr601_crgb_b1x,
b2x => ycbcr601_crgb_b2x,
b3x => ycbcr601_crgb_b3x,
b1y => ycbcr601_crgb_b1y,
b2y => ycbcr601_crgb_b2y,
b3y => ycbcr601_crgb_b3y,
y1c => y1c,
y2c => y2c,
y3c => y3c,
y1 => y1,
y2 => y2,
y3 => y3
);
 
END GENERATE gen2;
--------------------------------------------------------------------
-- clock and reset stuff
--------------------------------------------------------------------
CLOCK : PROCESS
BEGIN
clk <= '1' ;
wait for CLOCK_PERIOD/2;
clk <= '0' ;
wait for CLOCK_PERIOD/2 ;
END PROCESS CLOCK;
 
RESET : PROCESS
BEGIN
rstn<='0';
wait for 10*CLOCK_PERIOD;
rstn<='1';
wait;
END PROCESS RESET;
 
END a;
/trunk/rtl/vhdl/colorconv.vhd
0,0 → 1,241
-- ***** BEGIN LICENSE BLOCK *****
----------------------------------------------------------------------
---- ----
---- Color Converter IP Core ----
---- ----
---- This file is part of the matrix 3x3 multiplier project ----
---- http://www.opencores.org/projects.cgi/web/color_converter/ ----
---- ----
---- Description ----
---- True matrix 3x3 color converter ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author(s): ----
---- - Michael Tsvetkov, michland@opencores.org ----
---- - Vyacheslav Gulyaev, vv_gulyaev@opencores.org ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2006 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/lgpl.txt or write to the ----
---- Free Software Foundation, Inc., 51 Franklin Street, ----
---- Fifth Floor, Boston, MA 02110-1301 USA ----
---- ----
----------------------------------------------------------------------
-- * ***** END LICENSE BLOCK ***** */
 
 
 
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
 
use work.ccfactors_pkg.all;
 
entity colorconv is
generic(DATA_WIDTH : INTEGER);
port (
clk : IN STD_LOGIC;
rstn : IN STD_LOGIC;
 
DATA_ENA : IN STD_LOGIC;
DOUT_RDY : OUT STD_LOGIC;
 
-- input vector
x1 : IN UNSIGNED( data_width-1 downto 0 );
x2 : IN UNSIGNED( data_width-1 downto 0 );
x3 : IN UNSIGNED( data_width-1 downto 0 );
 
-- matrix factors
a11,a12,a13 : IN SIGNED( FACTORS_WIDTH-1 downto 0 );
a21,a22,a23 : IN SIGNED( FACTORS_WIDTH-1 downto 0 );
a31,a32,a33 : IN SIGNED( FACTORS_WIDTH-1 downto 0 );
 
--shift vectors
b1x,b2x,b3x : IN SIGNED( FACTORS_WIDTH-1 downto 0 );
b1y,b2y,b3y : IN SIGNED( FACTORS_WIDTH-1 downto 0 );
 
-- output vector
y1c : OUT SIGNED( int_factors_part-1 downto 0 );
y2c : OUT SIGNED( int_factors_part-1 downto 0 );
y3c : OUT SIGNED( int_factors_part-1 downto 0 );
y1 : OUT UNSIGNED( data_width-1 downto 0 );
y2 : OUT UNSIGNED( data_width-1 downto 0 );
y3 : OUT UNSIGNED( data_width-1 downto 0 )
);
end colorconv;
 
architecture a of colorconv is
 
-- the result full width will be
signal m11, m12, m13 : SIGNED( (data_width+factors_width) downto 0 );
signal m21, m22, m23 : SIGNED( (data_width+factors_width) downto 0 );
signal m31, m32, m33 : SIGNED( (data_width+factors_width) downto 0 );
 
signal x1sh, x2sh, x3sh : SIGNED( data_width downto 0 );
 
signal x1s, x2s, x3s : SIGNED( data_width downto 0 );
 
signal y1s, y2s, y3s : SIGNED( data_width+int_factors_part-1 downto 0 );
 
signal y1sh, y2sh, y3sh : SIGNED( data_width+int_factors_part-1 downto 0 );
 
signal y1r, y2r, y3r : SIGNED( data_width+int_factors_part-1 downto 0 );
 
signal y1ro, y2ro, y3ro : SIGNED( data_width+int_factors_part-1 downto 0 );
 
signal s1w, s2w, s3w : SIGNED( (data_width+factors_width) downto 0 );
 
signal d1, d2, d3 : SIGNED( (data_width+factors_width) downto 0 );
 
signal y1w,y2w,y3w : SIGNED( (data_width+factors_width) downto 0 );
 
signal pipe_delay : STD_LOGIC_VECTOR( 7 downto 0 );
 
begin
 
x1s <= '0' & Signed(x1);
x2s <= '0' & Signed(x2);
x3s <= '0' & Signed(x3);
 
process(clk, rstn)
begin
if rstn = '0' then
 
m11 <= (others=>'0');
m12 <= (others=>'0');
m13 <= (others=>'0');
m21 <= (others=>'0');
m22 <= (others=>'0');
m23 <= (others=>'0');
m31 <= (others=>'0');
m32 <= (others=>'0');
m33 <= (others=>'0');
 
s1w <= (others=>'0');
s2w <= (others=>'0');
s3w <= (others=>'0');
 
d1 <= (others=>'0');
d2 <= (others=>'0');
d3 <= (others=>'0');
 
y1w <= (others=>'0');
y2w <= (others=>'0');
y3w <= (others=>'0');
 
y1sh <= (others=>'0');
y2sh <= (others=>'0');
y3sh <= (others=>'0');
 
y1ro <= (others=>'0');
y2ro <= (others=>'0');
y3ro <= (others=>'0');
 
elsif rising_edge(clk) then
 
x1sh <= x1s+b1x(FACTORS_WIDTH-1 DOWNTO FACTORS_WIDTH-DATA_WIDTH-1);
x2sh <= x2s+b2x(FACTORS_WIDTH-1 DOWNTO FACTORS_WIDTH-DATA_WIDTH-1);
x3sh <= x3s+b3x(FACTORS_WIDTH-1 DOWNTO FACTORS_WIDTH-DATA_WIDTH-1);
 
m11 <= a11 * x1sh;
m12 <= a12 * x2sh;
m13 <= a13 * x3sh;
m21 <= a21 * x1sh;
m22 <= a22 * x2sh;
m23 <= a23 * x3sh;
m31 <= a31 * x1sh;
m32 <= a32 * x2sh;
m33 <= a33 * x3sh;
 
s1w <= m11 + m12;
s2w <= m21 + m22;
s3w <= m31 + m32;
 
d1 <= m13;
d2 <= m23;
d3 <= m33;
 
y1w <= s1w + d1;
y2w <= s2w + d2;
y3w <= s3w + d3;
y1s(data_width+int_factors_part-1 downto data_width) <= y1w(data_width+int_factors_part+f_factors_part-1 downto data_width+f_factors_part);
y2s(data_width+int_factors_part-1 downto data_width) <= y2w(data_width+int_factors_part+f_factors_part-1 downto data_width+f_factors_part);
y3s(data_width+int_factors_part-1 downto data_width) <= y3w(data_width+int_factors_part+f_factors_part-1 downto data_width+f_factors_part);
 
y1s(data_width-1 downto 0) <= y1w(data_width+f_factors_part-1 downto f_factors_part);
y2s(data_width-1 downto 0) <= y2w(data_width+f_factors_part-1 downto f_factors_part);
y3s(data_width-1 downto 0) <= y3w(data_width+f_factors_part-1 downto f_factors_part);
 
y1sh <= y1s + b1y(FACTORS_WIDTH-1 DOWNTO FACTORS_WIDTH-DATA_WIDTH-1);
y2sh <= y2s + b2y(FACTORS_WIDTH-1 DOWNTO FACTORS_WIDTH-DATA_WIDTH-1);
y3sh <= y3s + b3y(FACTORS_WIDTH-1 DOWNTO FACTORS_WIDTH-DATA_WIDTH-1);
y1r <= y1sh+y1w(f_factors_part-1);
y2r <= y2sh+y2w(f_factors_part-1);
y3r <= y3sh+y3w(f_factors_part-1);
 
if (y1r(data_width+int_factors_part-1)='1' and y1r(data_width)='1')then y1ro(data_width-1 downto 0)<=(others=>'0');
elsif (y1r(data_width+int_factors_part-1)='0' and y1r(data_width)='1')then y1ro(data_width-1 downto 0)<=(others=>'1');
else y1ro<=y1r;
end if;
 
if (y2r(data_width+int_factors_part-1)='1' and y2r(data_width)='1')then y2ro(data_width-1 downto 0)<=(others=>'0');
elsif (y2r(data_width+int_factors_part-1)='0' and y2r(data_width)='1')then y2ro(data_width-1 downto 0)<=(others=>'1');
else y2ro<=y2r;
end if;
 
if (y3r(data_width+int_factors_part-1)='1' and y3r(data_width)='1')then y3ro(data_width-1 downto 0)<=(others=>'0');
elsif (y3r(data_width+int_factors_part-1)='0' and y3r(data_width)='1')then y3ro(data_width-1 downto 0)<=(others=>'1');
else y3ro<=y3r;
end if;
 
end if;
end process;
 
y1c <= y1r(data_width+int_factors_part-1 downto data_width);
y2c <= y2r(data_width+int_factors_part-1 downto data_width);
y3c <= y3r(data_width+int_factors_part-1 downto data_width);
 
y1 <= UNSIGNED(y1ro(data_width-1 downto 0));
y2 <= UNSIGNED(y2ro(data_width-1 downto 0));
y3 <= UNSIGNED(y3ro(data_width-1 downto 0));
 
-- this shift register is nessecary for generating RDY sig and easy integration with fifo
process(clk, rstn)
begin
if rstn = '0' then
pipe_delay <= (others=>'0');
elsif rising_edge(clk) then
pipe_delay(0) <= DATA_ENA;
pipe_delay(7 downto 1) <= pipe_delay(6 downto 0);
end if;
end process;
 
DOUT_RDY <= pipe_delay(7);
 
 
end a;
/trunk/rtl/vhdl/ccfactors_pkg.vhd
0,0 → 1,413
-- ***** BEGIN LICENSE BLOCK *****
----------------------------------------------------------------------
---- ----
---- Color Converter IP Core ----
---- ----
---- This file is part of the matrix 3x3 multiplier project ----
---- http://www.opencores.org/projects.cgi/web/color_converter/ ----
---- ----
---- Description ----
---- True matrix 3x3 color converter ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author(s): ----
---- - Michael Tsvetkov, michland@opencores.org ----
---- - Vyacheslav Gulyaev, vv_gulyaev@opencores.org ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2006 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/lgpl.txt or write to the ----
---- Free Software Foundation, Inc., 51 Franklin Street, ----
---- Fifth Floor, Boston, MA 02110-1301 USA ----
---- ----
----------------------------------------------------------------------
-- * ***** END LICENSE BLOCK ***** */
 
-----------------------------------------------------------------------
--
-- There is package with factors for different color convertions.
-- Is used with mult3x3 matrix multiplier.
--
-- Source: "Digital Video and HDTV. Algorithms and Interfaces"
-- Charles Poynton; ISBN 1-55860-792-7.
--
-- rev 1.0, 06.30.2006 : Michael Tsvetkov (csimplemapi@mail.ru)
--
-----------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
 
package ccfactors_pkg is
 
TYPE COLOR_CONVERTION IS (
ComputerRGB_to_YCbCr601,
YCbCr601_to_ComputerRGB,
StudioRGB_to_YCbCr601,
YCbCr601_to_StudioRGB,
ComputerRGB_to_YCbCr709,
YCbCr709_to_ComputerRGB,
StudioRGB_to_YCbCr709,
YCbCr709_to_StudioRGB,
YCbCr709_to_YCbCr601,
YCbCr601_to_YCbCr709,
YUV601_to_YIQ601,
StudioRGB_to_YIQ601,
YIQ601_to_StudioRGB,
ComputerRGB_to_YCgCo,
YCgCo_to_ComputerRGB
);
CONSTANT F_FACTORS_PART : INTEGER := 15; -- float part width, 10-E4 accuracy
CONSTANT INT_FACTORS_PART: INTEGER := 3; -- integer part with, from -5 to +4 range (-4.999999 to 3.999999)
 
CONSTANT FACTORS_WIDTH : integer := (f_factors_part + int_factors_part); -- full factor width
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Computer RGB to Rec.601 (SD) YCbCr color convertion
-----------------------------------------------------------------------------------
constant crgb2ycbcr601_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010000011011111"; -- 0.256789
constant crgb2ycbcr601_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100000010000110"; -- 0.504129
constant crgb2ycbcr601_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000110010001000"; -- 0.0979
constant crgb2ycbcr601_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110110100000111"; -- -0.148223
constant crgb2ycbcr601_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111101101011000001"; -- -0.290992
constant crgb2ycbcr601_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000011100000111000"; -- 0.439215
constant crgb2ycbcr601_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000011100000111000"; -- 0.439215
constant crgb2ycbcr601_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111101000011101100"; -- -0.367789
constant crgb2ycbcr601_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111111011011011100"; -- -0.071426
 
constant crgb2ycbcr601_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant crgb2ycbcr601_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant crgb2ycbcr601_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant crgb2ycbcr601_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010000000000000"; -- 16
constant crgb2ycbcr601_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000000000000000"; -- 128
constant crgb2ycbcr601_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000000000000000"; -- 128
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Rec.601 YCbCr to Computer RGB color convertion
-----------------------------------------------------------------------------------
constant ycbcr601_crgb_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001001010100001011"; -- 1.16438
constant ycbcr601_crgb_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_crgb_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001100110001001010"; -- 1.59603
constant ycbcr601_crgb_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001001010100001011"; -- 1.16438
constant ycbcr601_crgb_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111100110111011010"; -- -0.391762
constant ycbcr601_crgb_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111001011111110000"; -- -0.812969
constant ycbcr601_crgb_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001001010100001011"; -- 1.16438
constant ycbcr601_crgb_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000001000110100"; -- 2.01723
constant ycbcr601_crgb_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant ycbcr601_crgb_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110000000000000"; -- -16
constant ycbcr601_crgb_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110000000000000000"; -- -128
constant ycbcr601_crgb_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110000000000000000"; -- -128
 
constant ycbcr601_crgb_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_crgb_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_crgb_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Studio RGB to Rec.601 (SD) YCbCr color convertion
-----------------------------------------------------------------------------------
constant srgb2ycbcr601_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010011001000110"; -- 0.299000
constant srgb2ycbcr601_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100101100100011"; -- 0.587000
constant srgb2ycbcr601_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000111010011000"; -- 0.114000
constant srgb2ycbcr601_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110100111101001"; -- -0.172586
constant srgb2ycbcr601_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111101010010100001"; -- -0.338828
constant srgb2ycbcr601_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100000101110110"; -- 0.511414
constant srgb2ycbcr601_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100000101110110"; -- 0.511414
constant srgb2ycbcr601_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111100100100101111"; -- -0.428246
constant srgb2ycbcr601_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111111010101011011"; -- -0.083168
 
constant srgb2ycbcr601_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant srgb2ycbcr601_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant srgb2ycbcr601_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant srgb2ycbcr601_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010000000000000"; -- 16
constant srgb2ycbcr601_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000000000000000"; -- 128
constant srgb2ycbcr601_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000000000000000"; -- 128
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Rec.601 YCbCr to Studio RGB color convertion
-----------------------------------------------------------------------------------
constant ycbcr601_srgb_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycbcr601_srgb_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_srgb_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001010111101110011"; -- 1.37071
constant ycbcr601_srgb_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycbcr601_srgb_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111101010011101111"; -- -0.336453
constant ycbcr601_srgb_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111010011010100010"; -- -0.698195
constant ycbcr601_srgb_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycbcr601_srgb_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001101110111000001"; -- 1.73245
constant ycbcr601_srgb_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant ycbcr601_srgb_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110000000000000"; -- -16
constant ycbcr601_srgb_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110000000000000000"; -- -128
constant ycbcr601_srgb_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110000000000000000"; -- -128
 
constant ycbcr601_srgb_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_srgb_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_srgb_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Computer RGB to Rec.709 (HD) YCbCr color convertion
-----------------------------------------------------------------------------------
constant crgb2ycbcr709_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000001011101011111"; -- 0.182586
constant crgb2ycbcr709_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100111010011111"; -- 0.614230
constant crgb2ycbcr709_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000011111110000"; -- 0.062008
constant crgb2ycbcr709_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111111001100011110"; -- -0.100645
constant crgb2ycbcr709_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111101010010101010"; -- -0.338570
constant crgb2ycbcr709_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000011100000111000"; -- 0.439215
constant crgb2ycbcr709_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000011100000111000"; -- 0.439215
constant crgb2ycbcr709_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111100110011110000"; -- -0.398941
constant crgb2ycbcr709_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111111101011011000"; -- -0.040273
 
constant crgb2ycbcr709_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant crgb2ycbcr709_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant crgb2ycbcr709_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant crgb2ycbcr709_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010000000000000"; -- 16
constant crgb2ycbcr709_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000000000000000"; -- 128
constant crgb2ycbcr709_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000000000000000"; -- 128
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Rec.709 YCbCr to Computer RGB color convertion
-----------------------------------------------------------------------------------
constant ycbcr709_crgb_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001001010100001010"; -- 1.16438
constant ycbcr709_crgb_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_crgb_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001110010101111001"; -- 1.79274
constant ycbcr709_crgb_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001001010100001010"; -- 1.16438
constant ycbcr709_crgb_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110010010110011"; -- -0.213250
constant ycbcr709_crgb_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111011101111001010"; -- -0.532910
constant ycbcr709_crgb_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001001010100001010"; -- 1.16438
constant ycbcr709_crgb_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000111001100011"; -- 2.11240
constant ycbcr709_crgb_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant ycbcr709_crgb_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110000000000000"; -- -16
constant ycbcr709_crgb_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110000000000000000"; -- -128
constant ycbcr709_crgb_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110000000000000000"; -- -128
 
constant ycbcr709_crgb_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_crgb_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_crgb_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Studio RGB to Rec.709 (HD) YCbCr color convertion
-----------------------------------------------------------------------------------
constant srgb2ycbcr709_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000001101100110111"; -- 0.212602
constant srgb2ycbcr709_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000101101110001100"; -- 0.715199
constant srgb2ycbcr709_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000100100111110"; -- 0.072199
constant srgb2ycbcr709_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111111000100000000"; -- -0.117188
constant srgb2ycbcr709_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111100110110001010"; -- -0.394227
constant srgb2ycbcr709_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100000101110110"; -- 0.511414
constant srgb2ycbcr709_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100000101110110"; -- 0.511414
constant srgb2ycbcr709_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111100010010001011"; -- -0.464523
constant srgb2ycbcr709_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111111100111111111"; -- -0.046895
 
constant srgb2ycbcr709_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant srgb2ycbcr709_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant srgb2ycbcr709_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant srgb2ycbcr709_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010000000000000"; -- 16
constant srgb2ycbcr709_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000000000000000"; -- 128
constant srgb2ycbcr709_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000000000000000"; -- 128
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Rec.709 YCbCr to Studio RGB color convertion
-----------------------------------------------------------------------------------
constant ycbcr709_srgb_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycbcr709_srgb_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_srgb_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001100010100010011"; -- 1.53965
constant ycbcr709_srgb_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycbcr709_srgb_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110100010001111"; -- -0.183145
constant ycbcr709_srgb_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111100010101101011"; -- -0.457676
constant ycbcr709_srgb_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycbcr709_srgb_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001110100000110111"; -- 1.81418
constant ycbcr709_srgb_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant ycbcr709_srgb_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110000000000000"; -- -16
constant ycbcr709_srgb_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110000000000000000"; -- -128
constant ycbcr709_srgb_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110000000000000000"; -- -128
 
constant ycbcr709_srgb_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_srgb_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_srgb_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Rec.709 YCbCr to Rec.601 YCbCr color convertion
-----------------------------------------------------------------------------------
constant ycbcr709_ycbcr601_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycbcr709_ycbcr601_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000110010110110"; -- 0.099312
constant ycbcr709_ycbcr601_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000001100010001010"; -- 0.1917
constant ycbcr709_ycbcr601_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_ycbcr601_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000111111010110100"; -- 0.989854
constant ycbcr709_ycbcr601_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111111000111010110"; -- -0.110653
constant ycbcr709_ycbcr601_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_ycbcr601_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111111011010111010"; -- -0.072453
constant ycbcr709_ycbcr601_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000111110111100000"; -- 0.983398
 
constant ycbcr709_ycbcr601_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_ycbcr601_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_ycbcr601_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant ycbcr709_ycbcr601_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_ycbcr601_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr709_ycbcr601_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Rec.601 YCbCr to Rec.709 YCbCr color convertion
-----------------------------------------------------------------------------------
constant ycbcr601_ycbcr709_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycbcr601_ycbcr709_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111111000100110110"; -- -0.11555
constant ycbcr601_ycbcr709_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110010101100010"; -- -0.207938
constant ycbcr601_ycbcr709_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_ycbcr709_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000001001100011"; -- 1.01864
constant ycbcr601_ycbcr709_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000111010101100"; -- 0.114618
constant ycbcr601_ycbcr709_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_ycbcr709_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000100110011011"; -- 0.075049
constant ycbcr601_ycbcr709_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000001100111110"; -- 1.025327
 
constant ycbcr601_ycbcr709_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_ycbcr709_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_ycbcr709_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant ycbcr601_ycbcr709_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_ycbcr709_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycbcr601_ycbcr709_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Rec.601 YUV to Rec.601 YIQ color convertion
-----------------------------------------------------------------------------------
constant yuv601_yiq601_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant yuv601_yiq601_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yuv601_yiq601_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yuv601_yiq601_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yuv601_yiq601_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111011101001001001"; -- -0.544639
constant yuv601_yiq601_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000110101101011010"; -- 0.838671
constant yuv601_yiq601_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yuv601_yiq601_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000110101101011010"; -- 0.838671
constant yuv601_yiq601_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100010110110111"; -- 0.544639
 
constant yuv601_yiq601_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yuv601_yiq601_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yuv601_yiq601_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant yuv601_yiq601_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yuv601_yiq601_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yuv601_yiq601_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Studio RGB to Rec.601 YIQ color convertion
-----------------------------------------------------------------------------------
constant srgb2yiq601_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010011001000110"; -- 0.299
constant srgb2yiq601_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100101100100011"; -- 0.587
constant srgb2yiq601_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000111010011000"; -- 0.114
constant srgb2yiq601_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100110001000111"; -- 0.595901
constant srgb2yiq601_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111101110011011011"; -- -0.274557
constant srgb2yiq601_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111101011011011110"; -- -0.321344
constant srgb2yiq601_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000001101100010100"; -- 0.211537
constant srgb2yiq601_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111011110100010111"; -- -0.522736
constant srgb2yiq601_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010011111010101"; -- 0.3112
 
constant srgb2yiq601_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant srgb2yiq601_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant srgb2yiq601_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant srgb2yiq601_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant srgb2yiq601_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant srgb2yiq601_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Rec.601 YIQ to Studio RGB convertion
-----------------------------------------------------------------------------------
constant yiq601_srgb_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant yiq601_srgb_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000111101001011110"; -- 0.955986
constant yiq601_srgb_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100111101110111"; -- 0.620825
constant yiq601_srgb_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant yiq601_srgb_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111101110100101111"; -- -0.272013
constant yiq601_srgb_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111010110100101000"; -- -0.647204
constant yiq601_srgb_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant yiq601_srgb_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110111001001010110"; -- -1.106740
constant yiq601_srgb_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001101101000100100"; -- 1.704230
 
constant yiq601_srgb_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yiq601_srgb_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yiq601_srgb_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant yiq601_srgb_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yiq601_srgb_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant yiq601_srgb_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
-----------------------------------------------------------------------------------
-- Matrix factors for the Computer RGB to YCgCo convertion
-----------------------------------------------------------------------------------
constant crgb2ycgco_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010000000000000"; -- 0.25
constant crgb2ycgco_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100000000000000"; -- 0.50
constant crgb2ycgco_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010000000000000"; -- 0.25
constant crgb2ycgco_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100000000000000"; -- 0.50
constant crgb2ycgco_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant crgb2ycgco_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111100000000000000"; -- -0.50
constant crgb2ycgco_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110000000000000"; -- -0.25
constant crgb2ycgco_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000100000000000000"; -- 0.50
constant crgb2ycgco_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110000000000000"; -- -0.25
 
constant crgb2ycgco_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant crgb2ycgco_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant crgb2ycgco_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
constant crgb2ycgco_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000010000000000000"; -- 16
constant crgb2ycgco_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000000000000000"; -- 128
constant crgb2ycgco_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"010000000000000000"; -- 128
 
-----------------------------------------------------------------------------------
-- Matrix factors for the YCgCo to Computer RGB convertion
-----------------------------------------------------------------------------------
constant ycgco2crgb_a11 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycgco2crgb_a12 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycgco2crgb_a13 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111000000000000000"; -- -1
constant ycgco2crgb_a21 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycgco2crgb_a22 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycgco2crgb_a23 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycgco2crgb_a31 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"001000000000000000"; -- 1
constant ycgco2crgb_a32 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111000000000000000"; -- -1
constant ycgco2crgb_a33 : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111000000000000000"; -- -1
 
constant ycgco2crgb_b1x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"111110000000000000"; -- -16
constant ycgco2crgb_b2x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110000000000000000"; -- -128
constant ycgco2crgb_b3x : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"110000000000000000"; -- -128
 
constant ycgco2crgb_b1y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycgco2crgb_b2y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
constant ycgco2crgb_b3y : SIGNED(FACTORS_WIDTH-1 DOWNTO 0) := b"000000000000000000"; -- 0
 
 
end ccfactors_pkg;
 
 
---------------------------------------------------------------
---------------------------------------------------------------
 
package body ccfactors_pkg is
end ccfactors_pkg;
/trunk/rtl/vhdl/colorconv_wb.vhd
0,0 → 1,263
-- ***** BEGIN LICENSE BLOCK *****
----------------------------------------------------------------------
---- ----
---- Color Converter IP Core ----
---- ----
---- This file is part of the matrix 3x3 multiplier project ----
---- http://www.opencores.org/projects.cgi/web/color_converter/ ----
---- ----
---- Description ----
---- True matrix 3x3 color converter ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author(s): ----
---- - Michael Tsvetkov, michland@opencores.org ----
---- - Vyacheslav Gulyaev, vv_gulyaev@opencores.org ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2006 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/lgpl.txt or write to the ----
---- Free Software Foundation, Inc., 51 Franklin Street, ----
---- Fifth Floor, Boston, MA 02110-1301 USA ----
---- ----
----------------------------------------------------------------------
-- * ***** END LICENSE BLOCK ***** */
 
 
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
 
use work.ccfactors_pkg.all;
 
entity colorconv_wb is
generic( DATA_WIDTH : INTEGER:=16);
port (
-- Data Bus (piped stream, our own bus) - x input and y output
x_clk : IN STD_LOGIC;
x_rstn : IN STD_LOGIC;
 
x_we_i : IN STD_LOGIC;
y_rdy_o : OUT STD_LOGIC;
 
-- input vector
x1_i : IN UNSIGNED( data_width-1 downto 0 );
x2_i : IN UNSIGNED( data_width-1 downto 0 );
x3_i : IN UNSIGNED( data_width-1 downto 0 );
-- output vector
y1c_o : OUT SIGNED( int_factors_part-1 downto 0 );
y2c_o : OUT SIGNED( int_factors_part-1 downto 0 );
y3c_o : OUT SIGNED( int_factors_part-1 downto 0 );
 
y1_o : OUT UNSIGNED( data_width-1 downto 0 );
y2_o : OUT UNSIGNED( data_width-1 downto 0 );
y3_o : OUT UNSIGNED( data_width-1 downto 0 );
 
-- Control Bus (WishBone Bus slave) - set factors and shifts regs for mult3x3
wb_clk_i : IN STD_LOGIC;
wb_rst_i : IN STD_LOGIC;
wb_stb_i : IN STD_LOGIC;
wb_we_i : IN STD_LOGIC;
-- data bus
wb_adr_i : IN STD_LOGIC_VECTOR (3 downto 0);
wb_dat_i : IN STD_LOGIC_VECTOR (f_factors_part+int_factors_part-1 downto 0);
wb_dat_o : OUT STD_LOGIC_VECTOR (f_factors_part+int_factors_part-1 downto 0)
);
end colorconv_wb;
 
architecture a of colorconv_wb is
 
constant factors_width : integer := (f_factors_part + int_factors_part); -- one sign bit
--factors for rgb2ycbcr conversion
SIGNAL a11 : signed(factors_width-1 downto 0);
SIGNAL a12 : signed(factors_width-1 downto 0);
SIGNAL a13 : signed(factors_width-1 downto 0);
SIGNAL a21 : signed(factors_width-1 downto 0);
SIGNAL a22 : signed(factors_width-1 downto 0);
SIGNAL a23 : signed(factors_width-1 downto 0);
SIGNAL a31 : signed(factors_width-1 downto 0);
SIGNAL a32 : signed(factors_width-1 downto 0);
SIGNAL a33 : signed(factors_width-1 downto 0);
 
--shift vectors for rgb2ycbcr conversion
SIGNAL b1x : signed(factors_width-1 downto 0);
SIGNAL b2x : signed(factors_width-1 downto 0);
SIGNAL b3x : signed(factors_width-1 downto 0);
SIGNAL b1y : signed(factors_width-1 downto 0);
SIGNAL b2y : signed(factors_width-1 downto 0);
SIGNAL b3y : signed(factors_width-1 downto 0);
 
COMPONENT colorconv
 
generic( DATA_WIDTH : INTEGER := 8);
port (
clk : IN STD_LOGIC;
rstn : IN STD_LOGIC;
 
DATA_ENA : IN STD_LOGIC;
DOUT_RDY : OUT STD_LOGIC;
 
-- input vector
x1 : IN UNSIGNED( data_width-1 downto 0 );
x2 : IN UNSIGNED( data_width-1 downto 0 );
x3 : IN UNSIGNED( data_width-1 downto 0 );
 
-- matrix factors
a11,a12,a13 : IN SIGNED( factors_width-1 downto 0 );
a21,a22,a23 : IN SIGNED( factors_width-1 downto 0 );
a31,a32,a33 : IN SIGNED( factors_width-1 downto 0 );
 
--shift vectors
b1x,b2x,b3x : IN SIGNED( factors_width-1 downto 0 );
b1y,b2y,b3y : IN SIGNED( factors_width-1 downto 0 );
-- output vector
y1c : OUT SIGNED( int_factors_part-1 downto 0 );
y2c : OUT SIGNED( int_factors_part-1 downto 0 );
y3c : OUT SIGNED( int_factors_part-1 downto 0 );
 
y1 : OUT UNSIGNED( data_width-1 downto 0 );
y2 : OUT UNSIGNED( data_width-1 downto 0 );
y3 : OUT UNSIGNED( data_width-1 downto 0 )
);
END COMPONENT ;
 
begin
 
-- WB address decoder
process(wb_clk_i, wb_rst_i)
begin
if wb_rst_i='1' then
a11 <= (others=>'0');
a12 <= (others=>'0');
a13 <= (others=>'0');
a21 <= (others=>'0');
a22 <= (others=>'0');
a23 <= (others=>'0');
a31 <= (others=>'0');
a32 <= (others=>'0');
a33 <= (others=>'0');
b1x <= (others=>'0');
b2x <= (others=>'0');
b3x <= (others=>'0');
b1y <= (others=>'0');
b2y <= (others=>'0');
b3y <= (others=>'0');
 
elsif rising_edge(wb_clk_i) then
if wb_stb_i='1' then
if wb_we_i='1' then
 
case wb_adr_i is
when x"0" =>
a11 <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"1" =>
a12 <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"2" =>
a13 <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"3" =>
a21 <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"4" =>
a22 <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"5" =>
a23 <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"6" =>
a31 <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"7" =>
a32 <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"8" =>
a33 <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"9" =>
b1x <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"A" =>
b2x <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"B" =>
b3x <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"C" =>
b1y <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"D" =>
b2y <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when x"E" =>
b3y <= SIGNED(wb_dat_i(factors_width-1 downto 0));
when others => null;
end case;
 
else
 
case wb_adr_i is
when x"0" =>
wb_dat_o <= STD_LOGIC_VECTOR(a11);
when x"1" =>
wb_dat_o <= STD_LOGIC_VECTOR(a12);
when x"2" =>
wb_dat_o <= STD_LOGIC_VECTOR(a13);
when x"3" =>
wb_dat_o <= STD_LOGIC_VECTOR(a21);
when x"4" =>
wb_dat_o <= STD_LOGIC_VECTOR(a22);
when x"5" =>
wb_dat_o <= STD_LOGIC_VECTOR(a23);
when x"6" =>
wb_dat_o <= STD_LOGIC_VECTOR(a31);
when x"7" =>
wb_dat_o <= STD_LOGIC_VECTOR(a32);
when x"8" =>
wb_dat_o <= STD_LOGIC_VECTOR(a33);
when x"9" =>
wb_dat_o(factors_width-1 downto 0) <= STD_LOGIC_VECTOR(b1x);
when x"A" =>
wb_dat_o(factors_width-1 downto 0) <= STD_LOGIC_VECTOR(b2x);
when x"B" =>
wb_dat_o(factors_width-1 downto 0) <= STD_LOGIC_VECTOR(b3x);
when x"C" =>
wb_dat_o(factors_width-1 downto 0) <= STD_LOGIC_VECTOR(b1y);
when x"D" =>
wb_dat_o(factors_width-1 downto 0) <= STD_LOGIC_VECTOR(b2y);
when x"E" =>
wb_dat_o(factors_width-1 downto 0) <= STD_LOGIC_VECTOR(b3y);
when others => null;
end case;
end if;
end if;
end if;
end process;
 
converter:colorconv
GENERIC MAP( DATA_WIDTH)
PORT MAP (x_clk, x_rstn, x_we_i, y_rdy_o,
x1_i, x2_i, x3_i,
a11, a12, a13,
a21, a22, a23,
a31, a32, a33,
b1x, b2x, b3x,
b1y, b2y, b3y,
y1c_o, y2c_o, y3c_o,
y1_o, y2_o, y3_o
);
end a;
/trunk/doc/colorconv_spec.doc Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
trunk/doc/colorconv_spec.doc Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/sim/rtl_sim/X.txt =================================================================== --- trunk/sim/rtl_sim/X.txt (nonexistent) +++ trunk/sim/rtl_sim/X.txt (revision 2) @@ -0,0 +1,135 @@ +3F 23 40 43 23 42 41 20 40 44 23 42 42 24 43 40 25 45 3F 24 44 42 23 41 44 22 40 44 22 42 40 1F 3F 3D 1F 3D 3D 23 40 41 27 46 4B 28 49 51 2A 4B 50 2D 4E 50 2C 50 4E 2A 4D 48 28 48 42 25 43 3D 22 3F 37 1F 3C 38 21 40 39 22 41 37 21 3C 3B 1F 3A 42 23 42 42 25 45 47 26 46 45 26 46 43 28 46 42 28 46 41 27 46 3F 25 45 40 25 44 42 25 42 3E 21 3E 40 24 41 42 27 43 45 29 43 4B 2E 47 4F 2D 4C 4C 2D 4B 48 2B 49 45 28 47 40 26 43 40 25 40 44 23 40 41 26 43 3F 29 47 41 27 44 43 26 43 44 29 46 3F 27 41 44 27 40 46 27 42 44 27 44 46 29 44 43 27 40 42 26 40 44 27 43 45 29 44 41 29 45 3F 28 45 40 26 44 43 26 45 43 27 46 40 2A 46 43 29 47 45 28 45 46 27 44 46 28 44 43 29 42 45 29 45 45 29 44 47 2A 47 47 28 49 47 27 46 44 28 45 43 28 43 45 28 42 45 29 42 44 29 45 45 2A 48 46 29 46 47 28 42 44 29 43 43 2A 45 40 25 3F 40 26 43 3F 29 45 42 28 45 47 25 45 48 27 46 45 29 44 43 28 42 43 25 42 43 27 43 46 29 43 47 27 43 4B 28 48 46 28 47 41 29 47 47 2A 49 4B 2C 49 48 2C 46 49 2C 47 4C 2B 47 4E 2B 4A 4E 30 4C 50 33 4E 53 35 50 56 34 53 54 30 4E 4D 2C 4A 49 2D 49 45 2B 47 47 2B 47 45 29 44 44 28 42 44 26 40 47 29 41 45 2A 43 45 2C 48 4A 2C 4D 4A 2B 4B 48 2C 48 47 2B 4C 48 2C 4C 4A 2A 49 4B 29 47 4E 2D 47 4B 2D 48 44 2C 49 49 2D 4A 4A 2D 49 48 2D 47 47 2E 4C 46 2B 47 48 2B 47 46 2C 49 49 2B 4A 4B 2A 4B 4C 2D 46 49 2F 46 47 2E 4A 46 2A 48 49 2A 48 4A 2D 48 49 2A 47 44 29 44 43 2B 46 43 2B 48 43 2B 46 44 2A 45 46 2C 48 47 29 49 46 29 47 4B 2A 48 4B 2A 48 47 2B 46 45 2D 48 47 2C 48 4B 2D 4A 4A 2C 49 49 2B 43 4C 2D 47 4B 2C 4A 49 2D 4A 46 2A 47 4A 2A 49 4C 2C 4B 4C 2D 4C 4B 2D 4C 4A 2D 4A 4B 2E 4A 4C 2D 48 4C 2C 49 46 2B 46 47 2E 49 48 2C 4A 46 2A 48 44 2A 45 46 2A 44 47 29 45 46 29 43 4A 2A 43 4A 2A 44 4D 2D 49 4F 2D 4B 50 2D 4B 4E 2D 4C 4B 2E 4D 49 2E 4B 4E 2E 4A 4D 2D 48 47 2C 46 +3D 25 42 3D 23 40 40 22 40 42 23 42 43 23 43 43 26 42 42 25 42 42 23 41 40 22 3F 3F 22 3B 3C 20 3D 3C 1F 3C 3E 21 3F 3E 22 40 43 25 43 49 2B 4B 4D 2D 4E 4F 2C 4D 4D 2C 4B 49 2B 4B 46 28 47 42 24 40 3A 20 3B 36 20 3C 38 21 3E 34 21 3B 3A 1F 3D 44 23 47 45 25 44 43 27 45 43 26 47 45 27 46 47 29 47 43 25 45 42 24 41 41 24 3C 43 21 3B 3F 21 40 3E 23 43 3E 23 3D 40 25 40 45 28 47 49 2A 4A 4E 2D 4D 4E 2D 4C 4A 2A 46 45 27 43 43 27 42 41 25 40 42 26 41 41 26 42 42 27 42 42 27 41 42 29 45 43 29 48 43 28 46 46 29 44 48 28 42 42 25 40 43 24 44 44 25 46 45 25 43 44 27 43 42 27 44 40 28 44 40 28 42 41 26 43 44 27 43 45 28 44 43 26 44 45 29 45 43 2A 43 40 29 43 43 28 49 45 29 45 42 28 3E 44 29 46 47 2B 4A 43 27 44 40 28 44 42 28 43 45 26 42 44 28 42 43 2B 43 43 2C 43 43 28 40 44 27 44 42 27 43 41 27 42 41 25 3F 41 25 42 40 27 42 42 29 45 46 28 47 44 27 44 43 27 43 41 26 42 3F 27 41 41 26 3F 46 28 41 43 27 41 48 2C 49 44 2A 46 43 29 48 45 2A 4B 49 2B 4D 4A 29 4D 48 2A 4B 47 2A 46 4B 2C 47 53 32 51 55 34 55 52 30 51 4D 2F 4E 48 2D 49 45 2B 45 43 2A 43 43 2A 45 45 2A 47 43 2B 44 43 2A 42 47 2B 46 4C 2E 47 4B 2D 47 4A 2C 47 4B 2C 4A 4A 2A 48 4D 2B 4B 4D 2E 4C 48 2E 47 48 2D 47 49 2B 49 4A 2B 45 49 2C 48 45 2B 4A 45 2D 49 4B 2C 4C 4C 2E 49 4A 2E 46 49 2B 46 4B 2C 48 49 2B 48 4A 2C 4A 4B 2D 4B 49 2F 45 48 2E 48 48 2C 4B 46 2A 49 47 2A 47 48 2C 46 47 2D 46 45 29 46 48 2C 49 46 2C 46 45 2C 45 42 2A 45 47 2A 47 4C 2A 48 48 29 45 48 2A 49 46 2A 49 45 2C 45 48 2B 45 4B 2B 48 4C 2A 49 48 2A 48 4A 2D 47 4C 2E 47 48 2C 45 45 2C 44 47 2B 46 4A 2A 4A 4D 2B 47 4D 2C 4B 4E 2C 4B 4B 2C 47 4B 2B 46 4D 2D 48 4E 2C 4C 49 2B 47 48 2C 48 4A 2B 4A 49 2B 4B 49 29 49 48 28 47 46 28 46 47 2A 42 46 2A 43 46 2A 45 49 2B 48 4E 2C 47 52 2F 4D 53 31 51 51 2F 4F 4F 2E 4C 4E 2E 4B 4F 2F 4D 4E 2E 4D +3D 24 3D 3D 24 3C 40 25 40 41 23 41 42 23 41 41 24 3F 41 25 42 43 24 43 41 22 40 3E 23 3C 3C 23 3E 3B 20 3D 3A 21 3E 38 20 3E 3B 20 41 46 26 46 4B 2A 49 4B 2E 4D 4A 2C 4D 46 29 4B 49 28 4B 48 25 46 3F 22 3F 32 1F 37 32 1D 38 33 1F 3B 37 22 3F 40 25 44 43 25 3E 42 27 42 40 27 45 45 28 45 46 26 45 44 24 44 42 23 3E 3F 23 3B 41 22 3D 3D 20 3F 3B 20 3F 37 21 39 34 21 38 36 20 3B 3B 21 3C 44 27 41 4B 2A 46 49 28 46 45 27 44 41 28 41 3F 27 43 3F 26 48 42 24 46 41 26 43 3F 26 41 44 27 45 47 28 49 44 28 47 44 29 46 44 27 44 41 26 42 43 27 44 43 26 44 42 25 44 42 25 42 43 26 41 42 27 41 41 28 43 3F 23 41 45 27 42 46 28 41 41 24 3F 3F 25 41 42 25 3E 41 24 3D 43 28 44 42 28 44 40 26 3D 42 25 42 44 26 43 41 25 3D 41 23 3E 44 24 41 47 26 45 46 28 40 45 29 3E 43 29 3E 41 27 41 41 25 45 40 25 41 3F 25 40 3E 25 43 3F 24 44 3E 26 42 3F 26 40 44 26 42 43 25 40 43 25 3F 43 26 40 42 29 42 44 29 45 45 28 44 40 27 43 43 28 47 45 2A 48 48 29 49 4C 2B 4B 4C 2B 4D 49 29 4D 45 2A 48 47 2C 49 4D 2E 4F 53 32 55 53 30 54 4E 2C 4E 47 29 46 40 28 41 40 2A 41 40 29 41 43 28 45 47 2C 4B 47 2B 47 47 2B 44 47 2B 45 49 2E 4B 4B 2C 4B 49 29 49 46 2B 47 48 2C 47 4B 2A 49 4A 2B 47 47 2C 44 46 2B 47 45 2C 47 45 2C 43 4A 2D 4B 48 2D 4A 45 2D 46 4A 2B 49 49 2C 46 4A 2C 45 4D 2A 4A 4C 2A 4B 4B 2C 4B 4D 2E 4C 4A 2F 4C 48 2D 45 4A 2B 48 48 2A 47 44 28 47 45 29 46 4A 2B 45 48 2B 4B 46 2C 4B 45 2C 47 44 2A 42 47 2B 45 49 2B 49 49 29 48 49 28 45 4A 29 48 48 2C 49 47 2B 47 4A 2C 48 4C 2C 48 4B 2B 48 4A 2C 48 46 29 45 45 2B 44 44 2C 46 46 2C 46 48 2A 44 49 2B 43 49 2C 4A 4A 2C 46 4B 2B 46 4B 2C 48 4D 2E 46 4C 2D 46 4C 2C 48 4B 2B 49 4B 2B 45 4C 2B 46 4A 2A 47 49 2D 47 48 2A 46 45 28 43 45 2A 42 48 2C 44 46 2A 42 45 29 41 48 2C 44 4C 2D 47 50 2D 47 54 31 4C 53 32 4E 4F 2F 4A 49 30 46 4A 31 48 4D 2D 4A +41 23 3F 3F 24 3F 40 25 3E 41 23 41 41 23 42 3F 22 40 42 24 40 40 25 41 3D 24 41 3E 23 3F 3E 24 3F 3E 23 3F 3C 23 3E 3A 22 3D 3C 1E 3F 41 20 40 48 26 45 4D 2C 4D 4C 2B 4D 49 2A 4B 48 2C 4A 47 2A 47 47 27 47 3B 23 40 35 1D 3A 3C 1F 3C 3C 24 42 3C 25 40 3D 25 3F 41 25 41 46 27 46 44 26 45 41 25 41 40 24 40 3E 25 41 3E 24 3E 40 23 3E 40 20 3C 3B 1F 3B 37 1E 39 33 1F 37 34 1F 36 36 1F 36 3F 22 3D 46 26 43 49 27 47 47 27 46 44 26 45 41 26 44 3D 27 45 3D 25 45 3F 27 44 41 27 43 41 28 45 44 28 46 43 28 46 41 2A 41 3F 2A 43 42 29 47 41 27 43 3F 27 42 3E 28 45 42 25 43 45 25 40 44 25 3E 3F 24 40 3E 24 40 41 25 41 42 26 40 3F 25 40 3E 24 42 40 23 42 42 25 41 41 28 3F 3D 28 40 3F 27 40 41 25 3D 43 26 3D 44 24 3C 46 25 3F 44 25 40 45 27 43 43 28 41 40 28 3E 42 2A 40 45 27 40 42 28 42 3E 26 43 3C 24 3D 3F 25 3F 3E 25 40 39 23 3E 3D 23 3F 41 27 42 42 27 44 45 28 46 44 27 45 45 2A 49 46 29 47 4A 27 47 46 2A 47 44 29 46 47 2B 4A 47 2A 4D 4A 2C 4D 47 2A 45 43 28 45 43 2A 45 45 2B 48 4C 2F 4F 4F 30 50 4A 2F 47 43 29 44 3F 25 3D 40 27 41 42 29 42 42 27 40 43 29 42 40 2A 46 44 2D 47 46 2C 46 46 2D 47 47 2C 49 4A 2D 4C 48 2C 49 46 2C 46 46 2C 45 49 2C 49 4A 2A 47 49 2A 43 49 29 43 46 29 44 46 2A 45 48 2B 46 45 2B 45 45 29 42 48 2A 42 4A 2E 44 46 2D 46 4C 2C 46 4C 2B 45 49 2C 45 49 2E 45 47 2D 47 49 2D 4A 49 2B 46 48 2A 43 48 2A 47 48 2A 4A 46 2A 46 44 2A 45 44 2C 47 48 2A 45 46 2A 43 45 2B 45 49 2C 47 48 2A 46 49 2C 47 4C 2C 45 4B 2E 46 4C 2C 45 4A 2C 45 48 2C 47 45 2A 48 46 28 47 4A 29 49 49 2B 49 4A 2B 48 48 2A 44 46 29 41 4B 2B 41 4A 2C 44 48 2B 46 4A 2C 48 4B 2C 46 4A 2E 4A 49 2D 4A 4B 2E 47 4A 2C 44 4A 29 44 4C 2A 47 4A 2B 45 48 2D 45 48 2B 46 43 2A 42 41 2D 40 44 2C 42 45 2A 43 45 29 42 46 2C 43 48 2D 46 4B 2E 46 4E 2D 47 50 2F 4B 4F 30 4B 4C 30 4A 4E 2F 49 4B 2F 49 +3E 23 40 3C 24 41 3C 24 42 41 24 44 42 22 44 40 24 41 40 25 41 40 26 41 3F 25 42 3E 24 42 42 23 3F 43 21 3E 40 21 3D 3B 22 3C 3A 20 3C 3C 1F 3E 40 22 41 44 28 43 4A 2C 48 4C 2D 4D 4B 2F 4E 47 2E 49 48 2C 4B 46 29 48 3F 23 41 3E 21 3E 3F 21 3C 3F 23 40 3E 24 40 3F 24 3F 43 25 40 42 25 40 41 24 3F 45 26 40 45 26 3F 45 26 3F 43 25 41 41 23 43 3F 21 43 3C 21 3F 39 20 3A 39 22 38 3C 25 3D 3F 26 45 43 27 49 48 28 4B 49 29 48 46 29 47 43 29 44 3F 25 40 3E 26 40 40 26 3F 42 27 40 40 26 41 42 25 44 43 27 46 42 28 40 42 28 40 45 29 46 4A 28 47 48 25 46 41 24 44 3F 25 43 40 26 43 41 27 42 3E 25 40 3F 25 41 3E 26 43 3E 25 41 3F 24 3F 42 25 3F 3E 25 42 3C 25 42 3E 25 42 3E 25 3D 3F 23 3A 40 25 3B 41 27 3E 3D 25 3C 41 27 3F 41 25 3E 40 26 3E 3D 27 3D 40 26 3F 44 28 42 43 25 3E 41 26 40 41 26 43 41 26 3D 42 26 3E 43 25 3F 41 25 41 44 25 43 42 27 41 41 2A 41 42 2A 45 44 2A 48 48 2B 49 47 29 45 49 29 44 48 2B 48 48 29 4B 47 28 46 48 2C 4A 46 2A 49 47 28 46 45 27 42 47 27 45 4A 2B 4A 4E 31 4F 4E 2F 49 47 2A 3E 42 27 3F 3E 26 3E 41 27 41 44 27 3F 46 27 40 46 28 45 43 27 46 43 29 47 45 2B 48 47 2B 47 47 29 47 48 2A 46 4B 2D 47 4B 2B 47 4A 29 47 4C 29 47 4A 29 44 46 29 41 45 2A 43 44 29 46 45 2A 46 46 2B 42 47 2B 47 47 29 45 47 2A 43 43 2B 42 44 2A 46 48 2C 49 48 2C 47 46 2C 43 45 2B 44 43 2B 43 44 2A 44 47 2B 45 46 2B 43 44 2C 44 45 2C 47 45 2A 46 44 29 43 45 2A 47 49 28 49 44 2A 47 46 2D 4B 4E 2F 4B 4D 2C 45 48 2C 46 48 2B 46 46 2D 44 48 2D 44 45 2C 42 47 2E 45 48 2C 46 4A 2B 48 4B 2B 4C 4B 2A 4B 4D 2A 49 4A 29 45 47 2B 43 4A 2A 44 4C 2A 47 4A 2A 47 48 2B 44 49 2B 46 4B 2B 46 4D 2B 46 4F 2B 46 4B 2A 42 49 2A 45 46 29 44 4A 2B 45 49 2B 46 47 2C 47 46 2D 47 44 2C 44 45 2C 44 44 2A 45 46 2A 45 49 29 43 46 2B 45 4A 2B 48 4D 2C 49 4F 2E 49 51 31 4A 52 30 4A 55 30 4C 4D 30 4B +42 23 41 40 23 3E 3F 23 41 40 22 43 43 23 44 43 24 42 44 23 41 41 24 40 3E 26 41 42 22 43 42 22 40 3B 23 3E 3B 23 3D 3E 22 3A 3C 21 39 3B 22 3C 3A 21 3B 3F 25 3D 45 2B 45 4B 2B 4B 4E 2C 4E 4C 2D 4D 49 2B 4E 47 29 4B 42 26 44 3F 22 3D 3E 21 3D 3C 25 40 3A 25 40 3C 23 40 3E 23 42 41 22 40 44 24 41 43 26 43 43 27 40 43 29 41 42 27 42 41 23 43 40 23 3F 3F 23 3B 41 24 3A 40 23 3C 43 26 42 45 2B 48 44 2A 4B 47 29 4C 44 29 47 47 29 48 45 25 45 46 23 44 45 25 44 43 24 41 42 24 40 42 26 43 42 26 44 3F 27 41 40 28 3F 41 26 3F 45 28 42 45 27 41 44 25 41 45 24 41 3F 24 40 3C 24 3F 3B 23 3E 3F 24 3E 42 25 41 3F 26 3F 3D 25 3F 3D 24 40 3E 25 3F 3F 26 40 3F 25 40 40 26 43 42 26 40 41 24 3E 40 25 40 3D 26 40 3A 26 3D 3D 26 3C 3C 25 3C 3E 23 3D 40 22 3E 41 24 42 43 29 46 42 28 45 43 25 42 44 27 41 42 28 40 41 28 41 41 24 40 41 25 42 45 28 47 47 29 47 47 28 46 45 29 48 44 29 48 46 28 45 47 2A 47 49 2B 47 4C 2C 49 4D 2D 4B 48 2A 4A 45 2B 48 42 28 44 44 29 45 43 2C 44 48 2E 49 4C 32 4F 4E 31 4F 4C 2D 49 44 2B 43 42 2A 41 43 27 42 45 27 40 46 29 42 46 2B 44 49 2C 48 48 2A 48 49 2B 46 48 2B 44 48 2C 48 46 2C 47 4A 2B 47 4C 2D 47 49 2C 44 49 2B 45 47 2A 45 45 29 44 47 2B 45 47 2A 45 49 2A 49 46 2A 44 45 2B 44 49 2D 4A 48 2D 45 48 2C 43 4A 29 44 49 2A 43 46 2C 43 44 2B 44 45 2D 48 44 2D 47 45 2B 44 47 2A 43 49 2C 46 43 2C 45 41 2C 46 3F 2B 44 42 2B 43 46 29 43 49 29 44 49 29 45 45 2C 45 49 2B 47 4E 2B 49 4B 29 46 49 2B 49 49 2A 4B 44 2C 46 44 2D 45 46 2D 48 49 2C 49 4B 29 46 4C 2C 4B 47 2C 4A 47 2B 49 48 2B 46 49 2A 46 49 2A 46 49 29 45 4B 2A 48 4C 2C 47 4B 2C 44 4B 2C 47 4D 2C 47 4B 2C 48 49 2D 49 47 2C 48 48 2A 49 48 2B 47 46 2C 45 4A 2D 46 49 2D 45 48 2B 46 4A 29 49 49 29 45 49 29 42 49 29 46 47 29 41 44 29 40 44 29 44 4A 2C 48 4F 2D 49 51 2F 4C 52 30 4E 50 2F 4E 4D 2D 4C +3E 24 40 40 22 3E 40 21 41 43 21 44 42 22 42 3F 24 41 40 24 3F 41 25 42 3E 27 41 42 25 3E 43 23 41 3F 22 41 3F 24 42 3D 23 3F 40 23 3E 3E 22 41 3A 1F 3E 3D 22 3D 3F 24 43 46 29 47 4E 2D 4B 4F 2D 4B 4D 2D 4B 46 2B 4B 43 28 47 42 26 42 39 21 3E 38 22 42 3A 22 41 3F 21 3F 42 22 41 42 23 41 40 23 3E 41 24 40 41 27 42 42 27 41 44 27 40 47 26 42 45 24 40 40 24 3E 3E 24 3E 40 23 40 45 27 47 46 29 49 44 29 47 45 2A 49 49 2A 4A 4B 28 49 49 27 48 42 26 45 41 27 46 44 27 45 45 27 43 44 26 43 42 27 44 41 27 42 42 25 41 43 23 41 44 26 46 42 28 43 41 27 41 43 25 43 42 26 43 3F 25 40 3C 24 3D 3C 25 3F 44 25 43 43 24 43 3E 22 3D 3D 25 3B 3E 23 3D 41 26 3E 42 25 40 43 25 46 3F 25 43 3F 24 40 3F 25 40 3B 24 3F 3D 26 41 3D 25 3E 39 25 3B 3E 24 3D 42 24 42 41 27 44 3F 27 43 43 27 46 44 26 44 44 28 43 44 2A 44 42 28 43 43 26 42 44 26 3E 47 28 42 48 2A 48 48 2B 48 46 29 48 44 29 48 47 2B 4A 4B 2C 4B 4F 2D 4B 4E 2D 4B 4A 2D 4C 48 2B 4C 47 2A 47 46 2A 44 45 2A 46 47 29 49 4D 2C 4C 4E 2F 4D 4D 31 4D 49 2C 48 41 28 42 43 2A 43 48 2A 48 47 2A 47 45 2A 43 4B 2C 47 51 2D 4D 4C 2C 4B 4B 2A 48 4C 2C 48 4A 2D 47 45 2B 43 46 2B 46 47 2D 46 44 2C 41 45 2B 40 45 28 45 48 2A 4B 49 2C 4C 48 2B 49 49 2B 49 48 2B 44 46 2C 47 49 2C 48 47 28 44 47 29 46 49 2C 48 48 2A 43 4C 30 46 4F 32 48 4F 30 49 4E 2E 47 49 2B 45 45 2A 43 44 2A 42 43 2B 46 43 2B 49 43 29 46 46 2A 45 44 2B 42 43 28 45 46 29 46 45 28 42 46 29 40 48 28 43 49 2A 48 44 2A 48 42 29 45 44 2B 42 46 2A 43 4A 2B 49 4A 28 48 48 29 47 47 2B 48 45 2A 46 45 29 47 47 29 47 48 2B 47 44 2A 44 45 2A 42 46 2A 43 47 2B 43 48 2C 3F 48 2A 41 4A 2C 44 4A 2C 47 49 2F 4A 49 2D 49 4B 2C 4B 4C 2D 4A 49 2D 47 49 2B 46 47 2B 44 47 2A 46 4A 29 4A 4B 2A 48 46 2A 42 41 28 42 42 29 41 46 27 3E 47 29 42 49 2C 46 4B 2D 46 4F 2E 4B 4F 2F 4D 4E 2E 4D 4F 2F 4D +41 25 44 3C 24 42 3D 23 41 42 22 45 42 24 41 3D 25 40 3F 26 41 42 25 42 41 23 41 41 24 42 47 24 45 45 25 45 3F 23 42 3D 21 41 3D 22 40 3D 23 42 3F 21 40 3A 20 3B 3B 23 3D 42 26 43 4C 2B 4B 4E 2B 4A 51 2B 4A 4C 2B 4C 49 2C 4A 45 29 44 40 25 40 41 21 3E 40 1F 3E 3D 1F 3E 3F 22 41 41 26 42 40 25 41 40 26 43 3F 27 41 42 25 41 3F 26 41 40 25 41 40 24 44 3D 25 40 3C 26 3E 3C 24 3D 3E 26 41 42 27 46 47 29 43 49 29 44 48 29 49 48 2B 49 44 28 46 41 25 43 42 26 44 44 28 45 45 29 46 43 26 44 46 27 43 43 25 40 42 24 3F 44 25 42 43 26 44 40 26 43 42 28 46 42 26 48 44 25 46 41 26 42 3E 26 3E 3E 27 42 3F 26 3F 44 26 45 41 24 42 3C 25 3C 3B 24 3A 3E 26 3D 3F 26 40 3C 25 41 3B 25 3F 3D 24 3F 41 24 40 42 24 3F 41 23 3F 3F 25 43 3C 26 43 3B 24 40 3E 25 40 40 26 44 41 25 44 43 25 41 41 28 42 42 29 44 44 2A 44 46 2A 43 46 28 46 45 26 44 43 27 43 41 27 44 42 29 46 48 29 48 4B 2C 4B 48 2D 4C 47 2C 48 49 2B 47 47 2B 47 42 2C 46 41 2A 47 41 28 46 43 29 47 45 2A 47 48 2A 47 4F 2D 4B 4F 2C 4A 4F 2D 4B 4B 2A 46 46 28 44 49 2C 4B 4B 2D 4D 49 2C 49 44 2B 48 44 2B 49 49 29 4A 49 2C 4B 47 2C 49 46 2C 48 45 2A 47 42 28 44 42 28 43 46 2B 45 45 2A 42 45 29 41 48 2A 46 49 2B 4B 47 2B 49 46 2B 45 47 2B 42 4A 2D 45 48 2D 48 42 2A 45 47 29 45 59 36 4A 70 4E 55 85 64 64 96 74 73 A1 7D 7B A1 80 7C 9C 7B 75 95 73 72 88 64 66 71 4F 51 59 3C 48 4B 30 46 45 2A 43 45 28 44 46 2B 45 46 2A 48 47 2A 49 45 29 47 42 2A 44 41 2C 40 45 2C 40 47 29 41 44 29 41 43 28 40 46 2A 44 47 2C 47 48 2C 48 46 2B 45 44 29 41 46 29 43 46 27 45 4D 2A 45 4F 2B 44 4A 2B 44 4A 2D 45 47 2C 45 46 29 49 45 2A 43 43 2C 42 44 2B 44 47 2A 47 4A 2C 48 48 2E 45 49 2D 47 4B 2D 48 4E 2D 48 4B 2A 48 48 2A 49 49 2D 49 48 2B 45 46 2A 46 45 2A 43 41 25 40 44 28 44 47 2B 42 47 2A 42 46 2A 44 46 2D 47 48 2C 48 49 2A 49 4D 2D 4C 51 30 4A +3E 24 42 3D 21 41 3D 22 41 3E 24 42 41 25 40 41 23 43 40 23 41 42 23 40 43 23 40 41 23 41 43 24 42 43 26 44 41 26 42 3F 23 3E 3D 22 3C 3D 22 3C 40 22 41 3A 23 41 38 23 3E 3F 23 3F 47 27 43 4A 2A 48 4E 2A 4C 50 29 4C 4F 28 4A 4C 2A 49 47 28 45 3F 22 3E 3C 21 3E 3D 23 42 3C 22 3E 3E 22 3E 3E 23 3F 3C 24 42 3E 24 41 43 23 44 41 25 45 42 26 42 42 25 40 41 26 42 41 25 40 3F 24 3D 3E 20 3F 40 22 45 44 27 43 46 2A 46 4A 2B 49 4C 2E 48 48 2C 45 44 27 44 43 22 42 42 24 43 43 27 44 42 27 40 44 28 43 41 27 43 40 25 42 42 25 41 41 26 41 41 29 43 43 29 46 43 25 46 44 26 45 42 29 41 40 27 3E 43 26 41 3F 26 42 3D 25 42 3F 25 42 40 25 42 3B 25 40 3E 23 3D 40 23 3C 3F 24 3F 42 26 3F 40 28 3F 3F 26 3E 41 23 3F 41 23 40 41 22 3F 41 25 40 41 26 41 3F 26 41 42 24 3F 42 24 3E 40 26 3E 42 26 3E 42 27 41 43 27 41 48 29 42 45 28 43 42 27 43 40 27 44 3F 24 43 3F 26 44 43 29 43 4A 2C 48 4A 2B 4B 49 2A 4A 44 2A 47 41 29 44 40 27 41 3F 27 40 3E 25 44 41 27 47 47 29 48 4C 2E 4C 4F 30 4F 4E 2E 4E 48 2B 49 48 29 49 49 2A 46 4B 2D 46 4B 2F 4A 4B 2D 49 47 2C 4B 46 2B 4A 47 2B 49 45 27 44 43 28 42 42 27 41 46 28 46 44 2A 45 43 29 40 44 29 41 43 27 42 43 28 42 44 2A 42 44 29 42 45 28 44 46 2A 46 44 2C 45 47 2D 43 50 30 46 66 4A 57 91 6F 73 AF 8B 7F C1 A1 8A CF AF 99 D1 B0 9A D0 B1 9B CF B3 9C CF B0 9B CB AD 99 C7 A7 95 C2 9F 8C B6 92 85 9D 79 76 79 58 5B 56 38 44 47 2A 40 46 2A 45 46 2A 48 46 2A 47 46 2B 45 45 2D 42 46 2B 40 4A 2B 44 47 28 46 44 27 45 46 29 46 46 29 45 43 2A 46 48 29 46 4A 2A 47 46 2A 48 44 29 49 47 2A 48 49 2A 46 4B 29 46 49 2A 44 46 2C 43 45 2B 46 46 2A 43 46 2B 41 47 2D 42 46 2B 41 47 29 42 48 2A 44 4A 2B 47 49 2B 44 4A 2B 43 4B 2C 45 47 2A 46 46 29 46 46 28 45 47 2A 45 48 27 44 45 27 42 45 2C 43 43 2C 44 43 29 46 44 29 45 45 2C 43 47 2A 47 48 2C 47 4C 2D 48 52 2E 48 +3D 24 3F 41 23 43 3E 21 42 3F 23 41 42 23 42 44 21 40 44 23 3D 44 24 42 43 24 43 43 24 41 40 21 40 40 25 46 42 27 48 44 25 44 41 23 41 41 22 3D 40 22 3D 3D 22 41 39 22 42 39 23 3C 40 25 3D 47 27 44 48 2A 49 49 2B 4D 4B 2B 4E 4B 2B 4B 4B 2A 47 46 26 41 40 24 3F 3B 22 40 3C 21 41 3D 21 41 3D 23 3F 3E 26 3D 3D 24 3D 40 25 44 44 27 46 42 27 41 42 24 42 45 23 44 45 23 42 44 25 41 3E 22 40 3F 23 42 46 25 44 49 29 49 4D 2D 4A 4F 2D 47 46 2A 44 42 2A 47 42 26 46 40 26 41 3F 25 40 40 25 43 41 28 3F 41 27 42 40 26 42 41 26 41 40 26 41 3F 28 3F 41 28 3F 43 25 40 42 28 41 42 29 40 3F 26 3E 3F 25 40 41 25 41 3E 24 3E 3D 23 41 3E 25 3E 3E 23 3C 3D 24 3F 40 25 41 41 22 3F 3D 24 3D 3F 26 3F 3E 26 40 3F 27 3F 40 26 3E 3F 23 40 40 24 40 42 26 3F 3F 27 3E 40 26 3F 40 28 42 40 27 43 43 25 43 45 26 45 45 27 45 47 29 45 43 2B 43 40 2A 40 40 26 44 40 23 42 41 24 41 3E 26 3F 42 28 42 48 2A 49 4A 28 46 46 2A 46 3E 28 42 39 26 40 3D 27 41 42 27 42 44 2B 45 4B 2C 49 50 2E 4C 50 2F 4D 4C 2C 4C 46 28 48 48 29 47 4D 2C 47 4B 2C 48 49 2E 4C 4B 2E 4C 4E 2C 4C 4E 2D 4C 49 2F 4C 46 2C 47 45 2B 46 43 28 42 44 29 40 45 2A 42 47 2A 41 44 27 3F 40 27 41 42 28 41 44 28 40 43 28 42 43 28 48 42 29 46 45 2B 40 65 46 51 97 75 6F BA 9C 8A CD B0 9A CF B3 99 CF B5 9B D2 B4 9A D5 B6 9C D6 B9 9F D6 BA A0 D9 BB 9C DA B8 9D D0 B5 9C CC AE 9B C9 A9 95 C1 A0 8B B8 96 84 A5 83 78 84 63 63 5A 3E 4F 45 2B 48 46 2A 48 4B 2B 47 48 2B 45 46 2A 45 48 2B 47 49 2B 46 48 2A 46 47 2A 45 43 29 43 43 2A 45 45 29 46 45 2A 46 45 2C 46 46 2A 45 45 2A 42 44 2B 42 44 2A 42 47 2A 45 45 2B 43 44 2A 42 48 2A 45 46 29 43 49 2A 43 4A 2A 44 4A 2A 44 4B 2A 44 49 2B 44 46 2A 44 49 2B 47 4C 2E 47 4A 2C 43 48 29 46 49 28 43 49 28 48 47 27 48 44 28 41 43 29 41 47 29 43 4A 26 46 49 25 45 44 2A 44 44 2B 41 47 2C 40 4B 2D 43 4E 2D 47 +44 24 42 46 25 43 40 24 42 42 25 42 44 25 41 43 25 40 41 25 41 3F 26 43 40 26 43 40 23 41 43 22 45 43 23 49 43 23 46 43 22 42 46 23 42 45 25 40 41 25 3F 3D 24 41 38 22 3F 38 21 3C 3B 21 3C 40 24 40 45 2A 49 49 2B 4B 4C 2C 4C 4B 2A 49 4D 2B 48 47 2B 45 3F 25 40 3B 21 3F 3F 20 3F 3D 21 3E 3F 22 3F 42 21 40 40 23 41 40 24 42 41 25 43 41 23 41 42 24 43 42 23 43 42 24 41 41 25 3E 3C 24 3D 39 23 3D 42 26 43 46 2A 48 47 2B 47 4C 2C 49 49 29 48 44 2B 47 41 2A 45 40 25 41 40 24 40 3E 25 42 3F 27 3F 41 27 40 42 26 40 41 25 3D 40 26 3F 3F 25 3E 3F 24 3F 3F 24 40 3E 23 3B 40 25 3F 41 26 43 42 27 44 42 26 42 40 25 3C 3F 24 40 40 25 3F 3F 22 40 3D 26 42 3C 26 42 40 23 43 3E 24 41 40 24 41 40 25 41 3C 26 40 40 27 3E 3F 29 40 3D 26 3E 3F 25 3E 3F 25 43 3F 27 40 41 28 41 44 28 45 46 26 43 48 27 46 4A 29 49 4D 2B 4A 49 2D 46 44 2B 44 41 27 46 43 26 43 42 25 41 3D 25 3F 3B 25 3D 3F 25 3F 42 26 3F 42 26 43 3E 24 42 3B 25 40 3E 25 3E 46 25 40 48 2A 45 50 2E 4D 50 2F 4D 48 2D 49 45 2A 46 47 27 43 48 28 44 49 2A 46 49 2B 49 4B 2E 4D 4D 2F 4B 4D 2F 48 4B 2F 49 48 2F 4C 48 2E 47 4A 2B 47 49 29 46 47 2A 43 46 2A 45 43 2B 45 45 2C 46 47 29 43 49 29 46 45 2B 49 45 2C 49 45 28 43 50 30 43 7C 5C 5E B1 8F 86 CB AC 9B CD B1 9D D3 B6 9C DB BC A2 DC C0 A9 DC C0 A5 E3 C6 A9 E7 CB B0 E4 C9 B2 E2 C5 AC E5 C3 AC E1 C2 A9 DE BD A2 D8 B5 9D CF AE 96 C3 A2 8C BA 9B 83 B6 95 84 A8 88 7D 7E 61 62 51 33 46 43 27 40 44 2B 44 44 2C 46 44 2B 44 48 2D 44 48 2C 44 48 2C 45 49 2C 45 46 2A 43 44 29 45 45 2A 46 48 2C 45 47 29 45 44 27 41 44 2A 42 43 2B 44 44 2B 45 45 2C 48 48 2B 49 4A 2A 46 48 2A 46 44 2A 41 44 2C 41 47 2D 44 48 2C 44 49 2B 44 44 29 41 41 2A 42 46 2C 43 4B 2E 46 4C 2C 4B 4A 2A 44 47 2B 43 42 2A 47 41 2C 45 43 2B 44 42 2B 46 41 28 43 42 27 40 43 27 3F 44 28 3D 46 29 40 48 2A 44 48 29 44 +43 25 42 44 27 41 42 26 41 46 23 46 45 24 47 41 26 43 41 25 43 42 24 44 42 24 45 44 24 44 42 24 44 42 24 46 43 25 45 43 23 42 48 24 43 45 26 41 41 26 3F 3D 24 40 3D 23 3D 3F 24 3F 3B 22 3E 38 22 3E 3F 24 42 49 28 46 50 2B 48 50 2C 4B 4B 2B 4A 4A 2A 4A 45 26 45 40 24 3F 3C 21 3A 3A 20 3B 3C 21 3F 3F 20 3F 42 25 3F 42 25 3C 41 24 41 3E 23 40 3F 27 41 40 26 40 42 27 44 42 25 41 3F 21 3E 38 24 3D 39 25 3F 44 27 44 48 29 47 47 2C 49 4B 2C 49 48 2C 45 43 27 41 42 24 3E 42 26 3F 3F 27 40 3D 25 42 3F 26 41 42 26 3F 43 25 3D 41 25 40 42 22 43 42 24 3F 3F 25 3F 40 25 3F 41 24 3F 3E 27 40 40 28 40 41 28 3F 3D 26 3F 3E 26 42 3F 25 40 3B 24 40 3B 26 40 40 25 3D 41 25 3D 43 24 3F 42 24 40 40 25 41 3D 27 41 3F 27 3F 40 28 44 3F 27 44 3F 24 42 3F 26 44 41 27 41 45 28 46 45 2A 46 47 29 46 49 2B 49 4A 2C 4A 4B 2C 48 4A 2A 48 4D 2C 4C 4A 29 49 48 2A 45 44 27 3F 41 26 3F 3E 24 3F 3E 25 41 3D 27 41 3E 27 43 3D 26 41 3E 27 3F 3F 28 41 44 29 47 4B 2C 4F 4D 2E 4E 49 2B 48 43 27 46 40 27 42 43 28 3F 41 28 40 43 29 43 47 2B 46 4D 2E 49 4A 2F 49 49 2E 48 4A 2F 49 4B 2D 49 49 2B 44 4C 2A 46 4F 2C 46 4E 2C 49 4B 2B 4B 49 2E 4D 4B 2E 4B 4F 2D 47 50 2E 46 4B 2E 4C 47 2C 43 58 3B 41 96 75 6E BD 9D 8E CA AC 97 D2 B4 9D D8 BA A4 DF C4 AD E1 C6 AE E5 C7 AF E6 CA AF E8 CB AF EB CD B2 EA CC B2 E6 CA B0 E4 C8 B2 E6 C6 B2 E2 C3 AA DE BD A4 D8 B7 A0 D1 B3 94 C9 AA 8C BE 9F 87 B6 97 81 B3 95 7F 9A 7B 70 69 4A 4E 48 2E 41 44 2B 41 4A 2D 46 49 2C 44 46 2B 44 47 2C 45 4B 2E 46 4B 2C 44 4C 29 46 4B 2C 48 4C 2B 46 4A 2B 45 48 2A 42 46 2A 3E 47 2A 40 45 2A 3F 47 2B 43 4B 2C 46 4A 2A 42 47 2A 41 42 29 43 44 2C 41 48 2D 44 48 2B 45 47 2C 43 47 2B 42 48 2A 42 49 2A 46 4C 2B 47 4C 2B 47 49 2B 47 45 2C 46 45 2B 44 44 2B 42 42 2B 40 40 28 43 3F 27 42 41 28 42 44 27 3E 44 2A 41 43 2A 42 41 2A 42 45 2B 45 +3E 26 3F 41 26 40 44 28 42 41 26 43 41 26 44 41 24 41 44 22 40 43 25 42 41 26 42 43 24 40 45 24 42 46 24 45 46 26 45 43 27 43 44 26 41 44 25 40 43 24 41 42 25 43 43 24 41 40 23 40 3B 22 3E 35 21 3B 37 21 3C 40 23 3F 48 27 44 4C 2C 4B 4D 2B 4C 4E 29 4D 4B 28 4B 45 25 44 3D 20 3E 3B 21 3D 3A 20 3E 3C 22 3E 40 22 3F 42 25 3E 43 26 43 42 24 41 45 25 40 45 24 42 42 25 47 3C 25 3F 3A 24 3A 39 24 3B 39 23 3D 3D 24 40 44 27 47 48 2B 48 47 2E 4B 4A 2B 4B 4A 2A 48 47 27 43 45 26 41 41 25 41 40 26 44 3F 27 43 3E 26 40 3E 24 3D 3D 24 3F 40 24 44 42 27 43 40 26 41 41 26 41 42 24 43 3E 25 42 40 27 41 41 26 3E 3E 25 40 3F 25 42 40 26 43 3F 26 40 3F 26 3D 44 24 3C 44 26 40 41 25 41 40 25 40 41 25 42 43 24 42 42 27 45 41 29 46 3F 27 43 3E 27 41 40 29 43 44 29 45 46 29 4C 48 2C 4B 4B 2B 4A 49 2C 49 47 2A 47 46 29 47 48 2A 4A 4E 2D 4E 4C 2C 4C 47 2D 47 47 2B 43 44 28 41 3F 26 3F 3D 24 3E 3E 26 42 3C 27 3F 3B 26 3D 3E 26 3F 45 28 44 4D 2C 49 4F 2D 4C 47 2B 48 3D 25 3E 3A 22 3C 3B 23 3E 3F 26 41 40 26 41 43 27 43 44 29 44 45 2B 44 44 2C 48 4A 2C 4A 4C 2D 4B 4C 2D 4B 4A 2D 4B 4A 2B 48 4C 2D 45 4E 2F 4E 4D 2F 4F 4F 2E 4C 50 2F 4B 50 32 4D 4E 2F 4A 4C 2F 47 5F 41 49 9E 81 75 C1 A6 96 CB B1 9E D5 BB A6 D9 BF A9 DD C3 AA E2 C8 B0 E7 CD B6 EB CF B7 EC CF B6 EC CE B4 EC CC AF EE CD AC EA CB AE E6 CB B0 E6 CB B1 E7 C8 AB E7 C5 AD DE BF A9 D8 BA 9E D4 B3 99 CD AA 93 C5 A5 8E BA 99 7F B7 93 7B B1 8C 7B 8E 6A 64 5F 3D 43 4A 2A 3F 49 2A 45 48 2C 45 47 2B 45 4A 2B 48 4B 2A 44 4C 2A 45 4A 2E 47 4D 2E 45 4D 2E 46 48 2E 46 44 29 43 4A 29 42 4A 2C 42 46 2C 42 44 2A 41 46 29 41 46 29 42 47 29 42 48 2A 3E 4A 2A 42 4B 2C 45 48 2D 43 45 2D 44 46 2C 46 48 2A 45 4B 2B 47 4B 2B 48 49 2B 4A 47 2D 48 47 2B 45 47 2A 43 45 2A 41 43 27 3E 42 25 3D 41 27 3F 3F 27 3D 3E 28 3C 3F 29 3D 40 29 3F 44 29 42 +42 25 3D 43 25 3E 45 24 46 40 24 47 3D 23 44 3F 24 41 42 23 42 3F 24 41 40 26 40 42 26 40 43 24 41 41 24 43 40 26 45 44 29 44 46 28 43 47 26 42 47 26 44 42 24 43 41 22 3E 3F 22 3C 3B 20 40 3A 22 3D 3A 21 3A 3D 21 3C 41 24 3F 45 2B 42 4D 2D 4A 54 2A 4D 4F 29 4C 4A 27 47 41 25 43 3B 21 3C 3A 21 3C 39 21 3E 3C 22 3D 3F 23 3E 40 25 40 3E 25 41 41 26 43 42 26 44 41 24 43 40 24 40 3A 25 3C 39 22 37 3A 20 37 3C 23 3E 3E 24 42 45 28 44 4A 2C 47 4E 2E 4C 4D 2E 4B 4A 2C 48 45 27 45 41 26 44 41 27 44 42 27 43 3F 26 3E 3E 27 3A 3E 26 39 41 26 3F 3C 27 44 3A 26 44 3D 24 43 43 24 41 40 27 3E 3F 26 3E 42 24 41 41 26 42 3F 26 42 41 27 43 43 28 41 45 29 42 47 28 43 45 29 44 42 29 42 41 2A 40 43 29 41 43 26 41 42 28 46 44 2A 45 42 29 40 3F 29 3F 41 29 41 48 26 43 47 27 49 48 2B 46 49 2D 45 45 2B 44 43 29 43 44 28 44 45 29 44 49 2B 48 49 2C 4A 47 2D 48 48 2D 45 48 29 43 43 26 40 3E 24 3E 3F 25 3E 3C 25 3B 3C 24 3C 42 25 42 49 28 47 4F 2E 4B 4D 2D 49 45 29 47 41 27 44 3E 25 41 3F 25 3F 41 24 3F 3F 24 3F 41 27 3E 44 28 3F 45 29 41 46 2A 44 48 2D 45 47 2B 46 47 2A 49 49 2A 49 4A 2B 49 4B 2D 48 4B 2D 49 4C 2E 4A 4E 2F 4B 4D 2E 4B 4C 2F 4D 4B 2E 47 5B 3F 48 9E 82 77 C0 A5 8D CC B0 98 D7 BB A5 DB C0 AA E0 C3 AD E4 C8 AE EA CC B5 E6 CF B7 E9 CD B6 F0 D1 B8 F6 D9 BC F7 DB BE F9 DB C0 FB DB C1 F6 DA C3 EF D6 BD EC D0 B2 EB CC AF E4 C5 A8 DE BF A1 D9 BA 9F D2 B3 9A CC AB 93 C8 A4 8E C1 9C 88 BB 96 80 BB 97 80 A8 86 76 76 58 53 49 31 3B 44 2A 42 47 2D 45 49 2C 44 46 2A 42 49 2C 47 49 2E 49 43 2E 45 46 2E 47 49 2E 47 49 2C 45 4A 2C 45 4A 2C 45 45 2C 41 45 2C 42 47 2A 46 46 2C 45 49 2C 42 4B 2A 42 4C 2A 43 4D 2C 45 49 2C 43 46 2D 41 47 2C 41 49 2C 47 49 2C 46 49 2B 46 4A 2C 48 49 2B 46 49 2E 49 46 2D 46 44 2A 3E 45 2A 3E 40 26 3A 3E 26 3A 3C 25 3C 39 26 3C 3A 27 3B 42 26 3D 43 27 3F +41 26 44 45 27 44 40 22 43 3A 24 41 3B 25 41 42 26 42 41 26 42 40 25 41 41 25 41 42 26 42 44 26 45 42 24 43 42 24 42 44 27 44 43 25 40 43 26 40 42 23 3F 42 23 42 41 23 41 3F 23 3B 3E 21 3C 40 22 3C 3F 21 3A 3D 20 3B 3C 21 3C 40 24 3C 48 27 44 50 29 4C 4E 2C 4D 4C 2B 47 49 28 46 3E 24 41 38 21 3E 38 21 3C 3D 21 3E 3D 21 40 3D 21 40 3C 22 40 3E 26 43 3E 25 43 40 26 44 40 26 43 3C 24 41 3A 20 3D 37 1D 3A 37 1F 3A 39 22 3E 40 25 43 4A 2B 48 4F 31 4D 4E 2F 4C 4C 2F 4B 48 2C 49 43 28 47 45 28 46 43 27 43 40 27 42 41 27 43 41 26 43 44 25 42 41 26 43 41 27 46 43 27 46 46 28 46 42 28 41 44 28 40 47 2A 45 46 29 45 48 2A 47 4B 2A 47 49 2A 49 4A 2A 49 4B 2C 4A 48 2C 48 49 2E 4A 4A 2C 46 4C 2A 48 4D 2B 4B 4D 2C 4C 47 2A 46 45 2A 44 45 29 45 45 29 45 46 28 43 42 29 44 47 2B 44 4A 29 44 45 27 42 40 27 44 3E 25 45 3D 24 41 45 26 43 46 27 43 44 2A 45 43 2D 46 46 2A 46 46 29 45 40 27 41 3A 27 3C 38 25 3A 40 26 3F 47 28 47 4B 2E 4C 4B 31 49 47 2C 45 41 28 47 47 2A 4C 44 2A 47 43 29 45 40 24 42 43 23 42 44 26 41 44 29 41 41 28 43 40 28 44 45 2A 44 4A 2B 45 4C 29 45 4B 29 44 4C 2B 47 4D 2D 49 4C 2A 47 49 2C 4A 49 2D 4A 4A 2D 49 4D 2D 46 52 33 3F 94 78 72 C0 A6 92 CB B0 99 D3 B6 9F DD BF A8 E1 C5 AD E1 C8 B0 E6 CB B1 F0 D1 B7 F3 DB BE F6 DE C4 FB E1 C3 FE E2 C6 FE E2 C8 FB E0 C7 FA DE C2 F9 DD C4 F9 DD C6 F6 D9 C2 F3 D5 BC F0 D2 B6 EA CC B0 E3 C5 AB DB BE A6 D1 B2 97 CE AC 91 CC A7 90 C6 A3 8E C4 A1 8B C2 A0 89 B8 99 82 90 72 62 5D 3F 48 48 2D 40 44 29 42 45 2C 43 45 2C 45 44 2C 45 44 2E 46 47 2D 49 4B 2B 48 4E 2C 47 4C 2C 44 49 2B 43 48 2C 42 48 2C 44 45 28 46 44 29 43 43 2A 3F 47 2B 41 47 28 40 48 28 3E 47 29 41 45 29 40 46 2B 40 49 2B 46 48 28 42 49 2B 43 45 29 42 48 2B 42 48 2D 43 45 2C 42 47 2D 43 49 2B 44 43 2A 3F 40 2A 3E 40 27 3F 40 28 41 3D 27 3C 42 27 3E 42 28 3F +45 26 45 43 24 43 3E 24 42 3B 25 41 3C 25 40 43 25 41 45 26 43 45 26 40 40 26 41 41 25 43 46 26 45 44 26 43 43 26 40 42 24 40 44 22 41 43 24 42 41 25 43 40 24 43 3F 24 42 3B 25 3E 39 24 3A 3F 24 3D 3D 21 3E 39 22 3E 38 22 3D 39 21 39 41 26 3E 47 2D 49 48 2E 4D 49 2C 49 48 29 49 43 24 47 3B 23 42 3C 20 3C 3E 1F 3A 3C 21 3E 3C 1F 3E 3C 23 40 3C 27 41 40 25 41 40 23 40 3F 24 3F 40 24 3B 41 21 3D 38 20 3C 36 1F 3A 39 20 3A 3F 23 40 47 2A 48 49 2D 4A 4A 2F 4C 4C 30 4E 4E 30 4F 4E 2E 4E 4C 2D 4C 4A 2A 4A 49 29 4A 46 28 49 45 2A 47 4A 2B 46 4A 2B 43 49 2D 46 4C 2D 4C 4E 2C 4D 4F 2D 4E 4D 2D 4C 4E 2C 49 4E 2E 4D 4A 2D 4C 48 2D 4B 47 2D 4C 49 2F 4C 4C 2D 4D 49 2E 4F 4B 2F 4F 4D 30 4E 4A 2D 4A 4B 2D 4B 4D 2D 48 4D 2B 47 4B 2A 4B 4A 2A 4E 49 29 4C 49 29 49 47 2A 47 42 2A 45 3F 27 42 3F 23 3F 3B 24 3E 3A 22 3E 3B 22 3E 46 29 45 46 29 43 40 26 40 3E 28 3C 41 28 40 41 27 42 41 28 43 41 28 42 3F 26 3F 47 28 46 50 29 51 4E 31 4F 45 2E 48 45 29 47 48 29 4B 49 2C 49 45 2A 47 46 2A 46 46 27 43 44 27 43 3E 29 40 3B 29 40 3E 28 42 40 28 43 42 26 3F 48 28 41 49 29 42 4B 2B 44 47 2B 45 47 2B 46 4B 2B 4A 4E 2C 49 4B 2E 48 4B 2D 49 4B 2B 41 7E 5F 61 BA A0 91 C5 AD 9A D0 B4 A0 DC C0 AB DF C2 AB DE C3 A9 E8 CE B3 F6 DC C1 FA E0 C5 FB E2 C9 FB E0 CB FB E1 C9 FC E1 CA FE E3 CC FD E3 CD FC E3 CA FB E1 C6 F8 DF C5 F5 DC C6 F1 D8 C0 EF D4 B9 EE CF B6 E9 CA B3 E3 C5 AA DD BE A3 D7 B6 99 D3 AF 8F CF AB 8D CC AC 92 CC AC 91 C9 A7 8F C2 A1 8B AA 89 7D 7E 5E 5B 5A 3D 45 4B 31 40 4A 2C 41 4B 2C 43 49 2D 43 48 2B 42 4C 2B 41 4D 2D 45 53 31 43 56 33 41 58 38 43 5F 3C 48 72 47 50 81 5A 5F 8B 67 65 9B 74 6C B1 84 7F B3 8A 83 9A 6F 6E 74 4C 51 71 50 57 69 47 4D 6C 49 4F 5B 37 44 49 2F 41 44 2D 44 44 2A 40 46 2E 44 49 2D 46 48 2C 45 48 2B 44 46 2B 43 44 2A 42 40 27 40 3D 26 3C 3D 26 3B 3F 26 3E +46 25 45 43 25 43 43 26 42 42 23 42 40 23 42 40 24 41 42 25 41 42 27 43 3E 27 42 42 25 44 43 23 42 42 25 43 41 24 43 42 22 42 44 24 42 41 26 41 40 26 42 41 24 43 41 24 41 41 24 41 3F 24 3F 3E 25 3D 3D 23 3D 3E 24 3F 3D 21 3E 3C 22 3E 3D 23 3B 3D 29 41 41 2C 48 49 2D 4E 4B 2B 4D 4A 28 48 41 26 43 3B 20 3A 3C 21 39 3C 23 41 3E 20 40 40 20 3E 42 24 40 41 23 42 41 23 42 42 26 40 43 26 3D 44 23 41 3A 21 40 36 1F 3A 37 1F 39 38 22 3A 3C 24 3E 44 26 43 48 28 46 4B 2C 48 50 2E 4C 52 2E 52 50 2F 4F 4E 2E 51 50 2D 50 52 2D 4D 53 2F 4E 54 31 4F 51 30 4F 50 31 52 53 30 54 52 2D 50 54 2F 52 53 30 54 53 32 52 54 31 50 53 30 4F 4F 2F 4E 4D 31 4E 4A 31 4C 50 2F 4D 50 2E 4E 4B 2E 4D 49 2E 4E 47 2D 4C 44 2A 47 45 2A 44 47 2A 47 4A 2C 4A 4B 2E 4B 49 2B 4C 45 29 44 43 28 41 3F 25 3F 3B 26 3E 34 23 3C 37 1F 3B 34 1D 38 34 22 3B 36 23 39 38 23 38 39 22 3B 3A 24 3C 3C 25 3C 3D 24 3D 3E 27 41 3F 27 41 44 28 45 4B 2B 4B 4F 2C 4E 4B 2D 4C 45 2A 46 44 28 45 45 2B 48 46 2B 47 47 29 47 47 2A 47 45 29 43 45 2A 46 46 2A 45 48 28 43 49 25 42 44 27 41 3F 25 41 3F 26 43 40 27 43 43 26 43 45 27 43 45 29 46 44 2C 47 45 2B 48 46 2D 48 47 2B 40 64 46 48 AE 92 87 C1 A9 97 C6 AF 9E D3 BD AA DA C0 A8 E2 C6 AC EE D4 B8 F6 DE C3 F8 E0 C9 F9 E1 C8 FA DF C7 FC E1 CB FE E5 CE FD E3 CD FC E3 CC FD E3 CC FC E4 CD FA E1 CA F9 DE C6 F4 D8 BE EF D3 BA ED D0 B5 EC CE B1 EC CA AF E7 C9 AE E3 C5 AB E0 BF A3 DC B8 99 D2 B3 95 CD AE 8F CE AF 8B CF AF 92 CE AD 91 CB A7 8D C4 9E 82 B3 8E 78 9F 7B 69 94 6D 5F 8F 67 5B 8C 65 59 90 69 5C 99 6F 5E A0 75 64 B0 7E 66 B8 83 63 BE 8B 66 D1 A1 83 E6 BB 9F E1 BB 9C DB B6 99 DE B8 9B ED C3 A3 FF DB BB FD D4 B4 E1 B5 98 DE B1 97 DC B1 97 EC C3 AB DB AD 9C A4 7B 6E 7A 58 56 7E 5B 61 54 38 47 47 2A 3F 48 2C 42 47 2A 41 45 2A 43 41 2A 42 3F 27 3F 40 28 3D 3A 23 37 36 22 37 +3F 26 42 43 27 44 43 26 42 46 25 43 44 24 45 42 25 47 43 26 45 43 26 45 43 29 47 42 29 45 40 27 42 43 27 45 42 26 43 42 27 44 44 25 45 42 25 41 42 26 41 41 24 42 44 24 3E 3F 25 40 3C 24 3F 3A 21 3B 3F 22 3F 42 24 3F 40 23 3C 3E 22 3C 3A 23 3B 3E 25 3C 42 28 41 4A 2B 4A 4D 2A 48 4A 2A 48 46 27 46 41 23 40 3E 22 3E 3A 21 3F 3A 20 3F 3D 23 41 3A 24 3F 40 27 41 45 27 41 44 25 41 43 28 43 42 25 44 3F 22 40 39 1E 3A 37 1F 3A 35 1E 39 39 20 3D 40 22 41 44 24 43 47 27 45 49 28 47 4B 2A 4A 4C 2D 4B 51 2F 50 52 30 4F 50 32 4B 52 32 4F 54 30 54 55 31 56 4F 33 53 4F 32 4E 54 30 4F 55 31 51 51 31 54 50 32 52 51 2F 4F 52 2F 4E 4F 30 4B 4D 2E 4D 4A 2D 4C 4B 2E 4B 4A 2B 49 49 29 46 46 2B 46 44 2A 44 43 26 40 3F 25 40 41 27 45 46 2B 47 4A 2D 47 48 2C 47 46 29 43 42 26 3E 3F 24 3C 3F 22 3A 36 21 37 33 20 37 36 1F 38 3A 20 37 38 1E 33 37 1F 34 39 22 39 3B 24 3D 3C 25 3D 3F 25 3D 42 24 3F 45 27 43 4B 2E 4B 4B 30 4C 47 2E 48 41 2A 46 42 28 43 44 28 41 43 28 40 44 29 41 45 2A 43 46 2B 44 44 2D 45 49 2D 45 4C 2C 45 4A 2B 44 47 2A 45 40 2A 41 3D 28 42 3B 26 40 39 23 3C 3E 24 3D 42 27 41 43 27 40 44 26 3E 41 27 3C 43 29 3F 50 33 3E 98 79 70 C2 A6 96 C2 A9 97 D1 BA A3 D5 BC A1 E1 C7 AA ED D4 BA F1 D8 C1 F2 DB C4 F3 DB C2 F7 DF C4 FD E3 C9 FF E6 CF FE E9 CF FF E6 C9 FF E4 CA FE E2 C7 FB DC BF F9 DD C1 F8 DE C1 F8 DB C0 F4 D7 BB F2 D3 B6 EF CF B2 EB CD B0 E7 CA AC E6 C7 AB DF BF A2 DD BD 9B DA BA 99 D9 B6 97 D8 B4 94 D6 B2 92 D4 B2 91 D4 B0 8E D4 B1 8C D0 B0 88 D0 AF 87 D6 B0 88 D8 B0 81 D9 B0 85 D9 B2 8A DE BB 8E EE C4 97 F5 CA 9A F5 CA 95 F4 C9 89 FC DE A8 FD E0 B8 F0 CC A9 E3 C0 9F E0 BB 9C E7 BE 9D FD D7 B3 FF DF BF F9 D2 B1 E0 B3 90 E3 BB 9E EA C5 A5 F0 CA A8 E8 C0 9F E3 BB 9F A7 82 71 45 2C 33 40 2A 3F 43 2B 3F 41 2B 3F 43 2D 43 42 2B 42 41 28 3E 44 26 3C 3F 23 38 34 22 32 +41 26 40 43 26 3E 44 27 44 42 24 47 42 23 47 45 26 45 44 27 45 43 27 48 43 27 46 42 29 41 43 2A 41 42 28 44 41 26 43 41 24 40 42 26 43 3F 25 42 3F 24 41 40 23 40 41 23 3D 3F 22 40 3D 23 41 3D 25 40 3D 24 3D 3B 24 3B 3A 22 3B 3B 21 3C 3D 23 3D 3B 23 3E 3C 24 3F 45 27 44 4A 2B 49 4B 2B 48 47 28 45 42 27 42 3C 23 3D 36 1F 3B 36 1F 3B 3A 23 3A 3D 24 3D 40 26 42 43 26 42 41 26 41 3F 27 44 40 24 43 42 23 40 40 22 3C 3B 21 3A 37 22 3D 37 22 3F 3D 24 3F 3D 23 3B 3C 23 3D 3D 24 3F 3F 26 42 43 29 45 49 2B 49 4D 2D 4D 4C 2D 4D 4E 2E 4C 4F 2D 4D 4E 2F 50 4A 2D 50 46 2D 4B 46 2D 48 4A 2E 4A 4A 2B 4A 48 2D 4A 46 2B 46 44 2B 45 43 2A 44 45 28 42 44 27 42 44 28 44 41 28 42 3E 27 41 3F 27 3E 3F 25 3B 3C 20 37 3B 20 39 3A 23 3D 3C 25 40 41 28 43 41 28 41 42 28 41 41 26 3F 41 26 3E 3D 23 3A 36 1F 3B 34 1F 3B 36 22 3B 3B 23 3C 3E 22 3B 3D 22 3B 3C 23 3C 3B 23 3D 3E 25 43 42 25 44 49 27 48 4C 2B 4C 4D 30 4A 49 30 49 42 2A 47 40 26 43 42 27 43 42 26 41 3F 25 3F 41 26 3F 42 29 42 45 2B 47 47 2E 49 47 2D 43 4B 2B 46 4B 2B 48 46 2B 48 45 2B 42 42 27 41 40 26 41 3B 25 3D 3B 25 3A 3C 28 3C 3E 27 3E 41 26 43 3F 27 40 41 26 35 72 56 56 B8 9E 91 C0 A4 93 CF B4 9E D8 BE A6 E2 C6 AF E9 CF BA EB D1 BC ED D4 BD F2 DA C0 F9 DE C4 FC E4 CB FD E7 CC FC E2 C7 FC E3 C6 FF E8 C8 FF EB D4 FF F0 DD FF EF DC FF EF DA FF EE D9 FF EA D4 FF E5 CC FC E1 C4 F9 DD C0 F6 D8 BD F2 D8 BD ED D3 B8 E9 CC B1 E4 C6 AA DF C1 A1 DD BC 9A DA B8 94 D9 B8 91 D8 B9 94 D7 B8 96 D5 B5 93 D6 B6 8F DA B9 93 E5 C2 9A F0 CC 9B F7 D8 A8 F9 E2 B9 FD EB C7 FF E8 B8 FF E4 B2 FF E6 B3 FD D9 9B F7 CF 94 ED C5 8F E1 BB 8A DA B7 90 D7 B1 8E DF B2 8A F4 CA A4 F7 D3 B2 E9 C6 A1 D0 AA 7D DB B8 8F D6 B1 86 D5 AE 84 E7 C0 9B EB C4 9F A5 7F 69 49 2E 33 40 28 3D 42 27 40 44 2B 43 46 2A 43 49 29 43 45 2A 42 41 28 3F 3D 26 39 38 23 34 +46 26 47 43 27 44 44 26 44 42 23 46 41 23 45 41 24 42 42 24 44 43 26 45 44 26 45 46 27 47 44 26 45 42 25 43 43 26 42 43 26 42 42 28 44 41 27 44 42 26 44 43 25 43 40 22 43 40 22 44 3F 23 43 3C 25 40 3B 22 3C 3C 23 3D 3C 22 42 3C 24 3F 3D 23 3C 3A 24 3F 39 24 42 3E 26 45 45 29 49 4B 29 4D 4D 29 4B 4B 29 47 44 24 43 3D 22 3C 3A 1F 38 3E 1E 3B 3B 20 3B 39 23 40 3A 24 40 3E 23 3E 3E 25 41 3D 27 44 3E 25 40 3B 26 3C 3B 24 3C 3E 23 3E 3D 22 3C 3A 24 3A 3A 25 3C 3A 25 40 3A 25 3F 3C 25 3E 41 25 40 42 26 45 40 25 44 3F 26 41 3F 28 3F 42 28 44 41 26 45 3F 27 44 3C 27 41 3A 28 3E 39 25 3F 39 27 3D 3D 27 40 3F 25 3C 3A 25 39 3E 25 3D 3F 23 3A 3F 23 3F 3F 23 42 3C 22 3F 36 24 3C 36 24 37 39 21 35 35 1E 37 37 1F 39 39 22 38 3B 22 3E 3D 25 40 3F 26 3F 3D 27 3F 3E 26 3E 43 25 3D 3F 24 3A 39 21 3C 38 21 3D 3B 21 3C 3F 26 42 3F 25 43 3D 23 42 3D 24 41 40 24 3E 42 24 40 46 26 49 4B 2D 4C 4C 2F 4C 4C 2D 49 49 2B 45 42 27 3F 42 28 41 40 28 3F 3E 27 41 3E 24 42 40 26 43 45 28 46 49 2B 47 48 2A 43 46 2B 43 4A 2B 46 48 2A 47 46 2C 47 45 2C 48 43 28 44 44 26 40 44 26 3D 3E 27 39 3C 26 39 3A 24 39 3D 25 3F 3D 24 3F 50 31 36 9F 84 78 BB A1 8C C8 AA 92 D8 BD A2 DE C6 AD E2 CC B7 E9 D1 BB ED D2 BB F2 D8 C0 F1 DD C4 F5 DE C5 FA E0 C5 FD E2 C4 FE E8 C9 FF EE D2 FF F0 D9 FD F6 E9 FE F5 E9 FF F5 E6 FE F0 DC FF ED D4 FF E9 CF FE E4 C9 FA DE C3 F7 D9 BD F6 D6 B9 F3 D6 B8 EE D1 B1 EC CD AE E9 C8 AC E5 C6 A9 E5 C3 AA E2 BE A1 DC BA 95 DF BC 9A E0 BE 9C DE BF 9B DB BD 99 E1 C4 9E E8 C9 9E F5 D4 A5 FF E6 BC FF F8 DD FF F3 D2 FF EC BB FD DE AA F8 D4 A1 F0 C7 90 E2 B0 72 D0 A0 64 C9 A1 71 CB AB 86 C7 A3 7E B2 8B 64 B3 8F 70 B1 93 78 82 69 51 71 5A 35 A5 89 5A C2 9D 6F D8 AF 86 E8 C3 A0 E8 C5 A2 A6 88 6B 6D 51 4E 47 29 39 48 2C 40 47 2B 42 42 2A 41 48 29 40 46 2A 41 42 29 42 43 26 3D 3E 25 36 +46 27 45 44 26 45 42 23 42 44 23 42 42 25 42 3D 25 41 3F 24 44 43 25 44 47 27 45 47 27 44 45 28 41 45 26 42 44 24 44 40 25 45 3F 24 40 3D 26 3D 3F 27 40 40 25 43 3F 25 43 3D 24 40 3B 23 3E 3E 24 41 3F 24 42 42 24 41 43 24 47 41 23 42 3C 23 3B 3C 24 3F 3E 23 40 40 23 41 43 25 40 4A 2B 48 4B 2D 4B 48 2B 48 4A 2A 47 42 23 3E 3D 20 3A 3C 1F 39 39 1F 39 37 21 3B 34 21 3A 37 22 3D 36 22 3A 36 22 3C 3D 21 3E 3D 22 3E 40 23 42 41 25 43 3D 24 40 3B 20 3E 3B 23 40 3E 24 3F 3C 22 3A 39 21 38 37 23 37 39 21 38 37 1F 37 34 20 38 31 21 36 39 21 3A 40 21 3D 40 24 3F 3B 24 39 39 26 39 35 22 3B 33 1F 37 34 21 35 38 22 36 36 24 38 39 23 3A 3C 22 3C 3E 20 3D 3B 1F 3A 39 21 39 39 22 39 33 22 35 34 1F 35 36 23 3A 39 21 38 3C 21 37 3E 22 3E 3C 25 40 3D 25 40 3E 25 3E 3E 25 3E 3D 25 3E 3B 24 3B 38 23 3B 3B 23 3D 40 23 41 43 25 40 40 26 3E 3C 26 3E 3C 26 40 40 27 3F 43 27 40 49 2B 49 4B 2E 49 48 2D 47 45 28 40 42 26 3D 40 26 40 40 27 40 3F 25 3D 3D 25 3F 3C 27 42 40 29 45 47 2A 46 49 29 45 43 2A 45 45 29 45 46 29 45 47 2A 44 49 2D 45 46 2B 43 45 29 42 46 2B 43 43 2B 40 41 2A 3E 42 28 3F 40 26 3D 3F 27 3D 3D 25 37 71 58 54 B1 9C 89 BC A2 88 D3 B6 99 D8 C1 A3 DA C5 A9 E7 CF B6 E8 D1 B9 ED D5 BB EE D5 BC ED D5 BC F4 DD C2 FC E3 C8 FF E9 D0 FF EE D7 FF F1 DD FF F1 DD FD F4 E6 FE F3 E4 FF EF DB FE EC D2 FF EA CD FF E7 CA FD E4 C7 FC E1 C6 FA DD C1 F6 D9 B8 F4 D6 B5 F1 D1 B2 ED CB AD E8 C6 A6 E5 C4 A0 E0 C1 9D E0 C0 9D E1 BF 9A DE BF 9C DD BC 99 DF BF 99 E0 BF 99 E2 C3 9A E8 CB A1 F2 D5 AB FB DE B5 FD E9 C2 FB E7 BE FB DC AB F4 CC 98 E9 BF 8B D9 AB 76 CC 98 5B BF 8E 52 B8 90 5C AF 91 67 8B 70 54 58 3D 36 5C 43 40 61 48 48 4B 31 3D 45 2B 36 54 3D 37 8E 76 5E BB A1 7D CC B1 90 AD 95 7B 5B 41 3B 49 2D 3F 44 2A 3C 45 2A 3C 45 27 40 41 29 40 3F 26 3B 3E 28 3B 3D 28 3B 42 28 34 51 40 29 +45 24 43 45 25 43 45 24 44 41 25 44 3F 27 44 3D 26 43 41 24 45 41 24 43 45 25 43 48 25 44 46 27 43 46 25 43 48 22 43 42 22 41 3E 21 3F 3F 22 3E 3F 23 3E 3C 22 40 41 23 41 41 24 40 40 25 40 40 26 43 43 26 42 42 25 40 43 25 40 44 27 43 3F 23 3E 3A 22 3C 39 24 3B 3C 24 3B 3F 23 3C 46 26 43 4B 2A 46 4D 2D 47 4F 2A 49 4B 2A 47 41 26 40 38 23 39 38 21 39 3C 1E 38 37 1E 37 35 1E 39 37 1F 35 36 1E 35 3C 20 3A 41 24 3F 3F 25 41 41 25 42 41 24 41 3E 21 3F 3A 24 3A 38 26 39 35 21 37 2E 1D 33 2C 1C 31 2E 1C 2F 2D 1C 2F 2C 1D 32 2F 1E 31 35 20 35 3B 22 39 3B 22 39 38 21 35 36 1E 32 34 1D 32 31 1C 32 35 20 32 37 23 39 39 24 3D 3C 22 3B 3A 20 38 3A 1E 38 3B 20 38 3B 22 38 3C 20 38 39 21 39 38 21 39 39 23 38 3B 23 39 3D 24 3C 3A 24 39 38 25 3E 3B 24 40 40 24 3B 3F 23 3D 34 23 39 34 21 38 3B 22 39 41 24 3D 43 26 42 40 27 42 3D 26 42 3D 27 42 3B 27 3F 3F 2A 41 44 2B 46 49 2C 4B 49 2B 48 46 2A 44 43 25 3E 43 25 40 41 26 43 40 28 40 3E 24 3F 3E 23 40 40 27 41 43 2B 44 47 28 44 45 27 41 3F 27 3C 44 26 3F 47 28 43 47 29 45 45 2B 45 45 2A 42 45 2A 41 44 29 41 41 2A 42 42 29 3F 41 28 3E 42 27 40 43 26 3B 4C 32 39 96 81 74 B5 9D 88 C7 AE 92 D4 BB A0 D4 BD A4 DF C7 B0 E7 CE B7 E5 D0 B6 E8 D1 B7 E9 CF B5 F3 D9 BE FC E3 C7 FF E7 CF FF EB D5 FE EC D8 FE EB D4 FE EA CF FD EC D4 FD F0 DE FD F2 E1 FE F3 E1 FF F2 E0 FF ED D2 FF E6 C9 FF E4 CA FF E2 C8 F9 DA BF F6 D8 BC F1 D3 B7 EC CD AF E7 CA AA E6 C7 A2 E3 C5 A0 E0 C3 A0 DF C1 A0 DD BF 9E DA BC 9D DA BE 9C DA C0 98 DE C1 96 E5 C7 9F E8 CB A1 E9 CC 9E E5 C8 97 EB CD A2 EE CB A1 E3 BC 8D D5 AB 75 C6 9A 66 BE 8B 53 AB 7D 42 95 73 40 6B 51 39 46 30 38 41 2A 40 42 2D 3D 41 2B 3B 3E 2A 3E 41 28 3B 41 26 39 40 2B 3A 4C 37 40 51 41 44 45 36 41 42 2A 43 44 29 40 45 2A 3C 45 28 3D 3F 27 3C 3C 27 3C 39 25 36 37 25 36 3B 27 2E 54 47 22 78 72 25 +44 26 44 45 25 42 45 25 43 43 25 43 40 24 42 43 26 43 44 27 43 43 27 45 43 26 43 44 24 41 42 24 43 42 25 45 46 24 44 43 24 3D 42 23 3B 41 24 3C 41 24 40 40 22 41 43 23 42 41 24 41 40 26 43 40 26 44 42 26 43 43 25 43 40 24 42 3D 25 41 3F 24 3F 3F 22 3D 3E 23 3B 3A 24 3A 3C 22 3B 3F 22 3E 45 27 42 4A 2C 44 4C 2D 45 4A 2B 47 46 28 44 41 26 3D 41 23 3C 3D 21 3C 36 21 3B 3B 21 3B 3D 21 3B 38 21 3A 3B 23 3B 3F 26 3D 3F 24 3E 41 24 40 40 24 3F 3B 22 3E 33 21 38 2B 1E 2F 2C 1A 2B 2F 1A 2E 2F 1B 2F 30 1C 2F 31 1D 31 34 1D 34 35 1F 35 35 20 38 36 22 3C 37 21 3B 38 1F 38 36 1D 32 33 1D 30 33 1F 34 35 1F 36 34 21 39 39 22 3B 3D 21 39 3C 1F 37 3C 1E 36 3C 21 3A 3B 23 3E 3A 23 3C 3D 24 3F 3C 22 3F 3C 23 3D 3B 24 3D 3B 26 3F 3A 26 3B 3A 24 3F 3A 27 41 40 28 3D 3C 22 3B 36 20 37 38 20 37 3B 20 36 3E 23 38 43 26 3D 43 25 40 40 26 41 3F 28 41 41 2A 42 47 2D 46 48 2B 47 44 2A 44 3E 29 41 3F 28 40 40 26 3D 42 25 3E 41 26 40 40 27 43 42 28 45 45 2A 46 46 2C 45 44 29 44 42 27 3F 45 2A 40 42 27 3F 44 27 43 45 28 43 42 28 41 40 29 41 40 28 3F 41 29 3E 42 27 3F 44 24 40 43 26 41 41 27 3E 44 29 40 46 27 38 6A 50 50 A9 96 83 BB A3 8A CD B6 99 D0 B9 9A D7 BE A2 E1 C8 AF E4 CC B6 E2 C9 AF E6 CB B1 F1 D7 BC FB E2 C6 FE E5 CB FF E6 CD FD E5 CB FC E4 C5 FE E8 C8 FE ED D3 FE F2 DD FE F5 E6 FD F6 E8 FD F4 E2 FF F1 E0 FF ED D4 FF E8 CB FE E3 C6 FD DF C3 F9 DD C2 F5 D9 BE F3 D4 BA F0 D2 B9 EF D2 B9 EC CE B2 EB CC AE EA CA AA E6 C8 A6 E4 C5 A6 DF C0 9D DD BD 96 DA BA 8F D9 B8 8B DC BC 92 DF C0 96 DB BD 92 DB BB 8E D8 B9 8C D8 B6 89 D5 AC 7F C9 A0 6E C0 94 5D AA 7F 42 88 6A 35 58 40 2A 3F 26 36 40 28 3F 42 2A 3F 40 27 3C 43 26 3B 40 27 3C 43 29 3A 44 29 3D 40 29 3E 40 27 3E 3F 2A 3E 3E 2A 40 43 2B 43 45 2B 40 44 2B 41 40 29 3D 3D 28 38 3B 26 33 3C 23 31 42 2D 29 5C 4F 20 7B 73 20 85 7D 16 +44 28 43 46 26 40 46 26 42 42 26 45 3F 24 44 42 25 44 47 26 42 45 24 43 43 23 42 3F 24 3E 41 24 3C 43 24 40 41 25 40 45 25 3E 42 24 3D 41 24 3C 42 26 41 42 25 44 41 23 43 3F 25 42 3F 26 3D 43 26 43 42 26 43 43 27 41 40 24 3F 3F 23 42 3E 26 43 3F 24 40 3E 22 3C 3C 21 3B 3B 22 3E 3C 24 3F 3C 25 3F 41 27 3F 47 2C 42 4B 2C 46 4B 29 46 48 27 42 45 24 41 3D 21 3E 37 21 3A 38 23 38 39 22 3D 39 22 3D 3B 25 3E 3D 25 3D 41 25 3D 41 25 40 3C 23 3F 32 1E 37 2A 1C 30 2E 1A 2C 30 1D 2E 35 1F 35 38 21 38 3A 21 39 3F 23 3F 44 25 43 42 26 42 41 25 3E 41 24 3D 3C 20 39 3B 20 3A 37 21 39 36 21 38 39 21 3A 37 1F 38 35 20 35 35 22 34 36 21 31 39 23 35 3B 22 39 3B 22 3C 3C 25 3E 3D 25 3C 3C 24 3C 3D 23 3D 3E 23 3D 3C 22 3F 3A 24 40 3B 24 3E 3E 25 3C 3E 24 40 42 25 3D 40 23 3B 3B 22 3B 36 22 39 39 21 3A 3E 22 3B 41 24 3C 3F 22 3C 3C 26 41 40 29 44 40 2C 45 41 2C 46 41 2A 42 41 27 3E 3F 24 3B 3E 24 3A 41 28 42 41 27 43 43 27 43 43 28 43 44 28 45 47 2A 42 48 2B 45 42 29 46 3F 28 43 42 28 40 44 27 3E 44 24 3F 42 27 40 41 28 3E 40 25 3C 41 25 3D 41 26 3E 45 28 42 45 29 44 44 2A 45 44 28 3F 44 29 42 4B 2C 37 8A 71 6A B1 9B 81 C4 AD 8D CC B4 93 CF B8 9A D9 C0 A4 DB C1 A7 DC C3 A6 E3 C9 A8 EE D6 B7 F6 DE C3 F9 E2 CA FB E3 C9 F9 DF C3 FB E0 C2 FF E7 CB FF ED D5 FF F0 DC FE F2 E1 FE F3 E4 FE F3 E2 FE EE D6 FF ED D1 FF EB D0 FF EA D0 FF E8 CC FD E3 C5 FD E0 C6 FA DE C3 F4 D9 BD F0 D5 B9 F0 D2 B7 EE CF B0 EC CB A7 E7 C7 A6 E4 C7 A3 E0 C3 9E DE BF 97 DB BC 8F D5 B2 82 D1 AC 7B CF AD 80 D8 B7 8F D5 B5 8E CF B1 89 CE B0 89 CC AA 7E C4 9E 6C B9 93 5A A8 83 43 92 72 34 5E 43 2D 42 27 39 45 28 41 47 2A 3D 45 29 3C 43 2A 3E 42 28 3F 45 27 40 47 26 3D 44 28 3E 40 29 3D 3F 29 3D 3F 29 3D 3F 2A 3C 40 28 3D 44 28 3F 44 29 3D 41 29 3C 3E 29 3B 3F 27 3A 43 2E 28 61 59 22 7D 78 1D 81 7B 13 84 7D 0B +45 27 46 47 27 44 43 27 41 43 27 44 43 26 45 41 24 43 40 24 41 40 23 42 42 25 44 42 26 44 44 24 43 44 24 42 40 26 41 43 25 3F 42 26 3E 3E 25 3C 3D 25 3E 42 25 43 41 24 43 42 26 42 44 27 3E 44 26 42 44 26 41 41 27 3E 41 26 3D 42 23 3C 3D 24 3E 3B 25 41 3D 24 40 3D 21 3C 3C 21 3C 3B 22 3B 3B 22 3C 3F 22 3F 42 28 44 45 2B 48 48 2C 4A 4A 2C 47 48 28 43 40 24 3F 39 20 3C 38 20 3B 38 21 39 3A 21 39 3F 23 3C 40 25 40 3F 25 40 3D 23 40 37 20 3A 2E 1D 32 2D 1B 2F 37 1E 34 38 22 37 3A 22 38 3F 23 3E 43 27 40 49 2B 45 47 28 48 45 28 45 44 29 42 40 25 3C 3A 21 38 32 20 35 34 21 36 36 20 36 3B 23 39 3C 23 38 38 22 37 36 22 37 37 24 35 3A 23 36 38 23 39 38 21 3B 3B 22 3C 3D 24 3E 3C 25 3D 3C 24 3C 3D 22 39 3B 21 3B 3D 23 3D 3D 23 40 40 24 40 40 24 3F 3F 26 3E 3B 24 3A 36 21 37 35 22 39 38 25 3F 3C 25 3F 3E 25 3C 3C 28 3F 3C 28 41 42 28 45 41 2A 44 3E 29 42 3D 26 3D 3F 25 3C 40 22 3B 40 23 3A 3E 28 40 3F 29 42 44 2A 43 46 2B 43 46 29 45 45 29 42 41 28 44 3F 29 47 42 28 42 46 29 43 41 27 41 3D 25 41 3E 26 3F 3F 25 3E 40 24 3D 3E 25 3C 42 26 41 44 29 42 42 2D 41 43 2A 40 49 29 40 46 27 3D 5C 3E 3F A2 88 77 B9 A1 85 C4 AE 94 C8 B3 9A D1 BC A4 D6 BD A5 DA C0 A4 E1 C8 A8 E9 D1 B2 ED D7 BC F3 DC C4 F5 DD C3 F3 DC C2 F9 DF C3 FF E4 C8 FF E7 CB FF EC D2 FF EE D5 FE EB D4 FF ED D4 FE EB D0 FF EF D8 FF F1 DD FF F1 DE FF F1 DF FF ED D3 FE E3 C6 FB DE C2 FA DD C0 F4 D9 BB F2 D6 B8 F0 D2 B5 F0 CF AE E8 C9 A1 E1 C4 9F E3 C4 9E E3 C4 9E DF C1 9E DB BC 96 D7 B3 89 D6 AC 81 CF AB 7C CF B0 83 CF B2 8B CB B0 8B C2 A6 7E C0 A1 7B B2 93 5C A3 85 43 92 79 3D 64 4C 33 44 26 37 47 27 43 45 28 42 44 2B 3E 44 2B 40 44 2A 40 44 29 3F 43 29 3E 3F 27 3C 41 26 3B 40 27 3E 3E 27 3E 40 29 3C 43 2B 3D 42 29 3D 43 29 3F 40 28 3B 3E 26 3A 3E 26 3A 47 2D 30 64 57 27 80 78 1A 81 7B 07 82 7B 06 84 7D 05 +44 24 42 45 27 45 41 27 43 42 25 40 43 26 41 40 25 41 41 27 43 42 27 45 40 26 42 40 26 3D 42 26 41 41 25 40 43 24 41 45 24 41 43 25 41 42 24 41 3F 27 41 43 26 41 43 25 40 45 27 3F 46 27 41 45 25 43 44 26 40 41 26 40 3F 25 40 40 24 3E 3E 22 3C 3C 23 3F 3C 24 40 3D 24 3D 3D 21 3A 3D 20 38 3C 20 37 39 22 37 3D 22 3D 43 26 42 47 28 46 4A 29 49 48 28 45 44 25 3F 3F 23 3C 3B 22 3A 39 24 37 3B 20 38 3E 20 3D 3E 20 40 3E 21 3F 38 1F 3A 2F 1B 2E 2F 1F 2C 39 29 30 44 38 33 4F 42 33 57 45 32 50 42 2A 4D 45 2B 51 43 32 4A 3A 35 42 33 35 3E 2A 3A 38 22 36 35 21 37 30 1F 36 36 21 3A 39 20 3B 3D 21 3C 3F 23 38 3E 25 38 3B 22 3D 3C 22 3C 3B 22 38 37 24 39 38 28 39 3F 2F 32 44 38 30 4D 3C 2D 4E 3C 2A 4E 3D 30 4B 3A 2D 45 35 31 45 34 38 43 2F 38 40 2B 37 3D 26 39 39 21 37 37 20 36 3A 22 35 3F 25 39 42 26 3D 3F 24 3F 3F 25 41 40 28 3E 3F 27 3E 3D 28 40 3E 26 3C 3B 24 3B 3B 24 3C 3E 23 3B 41 25 3E 3E 26 40 40 28 41 43 27 41 4B 29 44 47 29 43 41 28 3F 3E 2A 40 3D 28 40 41 28 3E 43 28 40 3F 27 46 3E 25 44 42 25 3F 43 25 3C 42 27 3D 40 26 42 43 27 44 44 27 43 44 28 40 41 29 3D 45 2A 41 43 27 37 71 5B 51 A9 93 7D BC A5 8B C3 AD 92 C7 B6 9C D0 B9 9F D7 BA 9E E1 C5 A8 E4 CE B2 E7 D1 BB E9 D2 BA EC D4 B9 EF D6 B9 F5 DD C4 FB E1 C8 FD E3 C9 FE E7 CC FE E6 C9 FF E4 C4 FF E9 CD FF ED D7 FF F0 DC FD F4 E6 FE F5 E6 FE EF DA FF EA D2 FC E3 C6 FC DF C1 FB DD C2 FA DF C3 F8 DB BD F5 D6 B5 EE D0 AC EA CD A8 E8 CA A4 E6 C7 A1 E5 C6 A3 E4 C6 A2 E3 C2 9F E0 BC 95 D7 B3 88 D1 AD 81 CB A9 7D CE AF 85 CC B1 8A C1 A8 7F BE A7 79 AE 98 65 A0 8A 51 95 80 48 6A 59 3A 42 2C 37 45 27 43 44 27 3E 46 29 41 45 28 3F 44 28 3D 43 25 3D 44 27 3A 43 27 39 41 26 3B 41 26 3B 40 26 3D 40 26 3C 43 28 3C 41 2A 3B 3E 2B 40 42 2A 43 3E 27 3E 3B 27 37 41 2E 2B 64 56 28 7F 78 23 83 7A 15 82 79 0F 80 7C 10 80 80 18 +48 24 45 44 26 44 3F 25 44 42 24 44 45 25 45 44 26 42 46 26 40 44 25 40 42 24 41 41 24 41 41 24 43 41 25 44 40 24 43 3E 25 43 40 23 42 42 24 40 41 26 3E 45 25 3E 46 25 3E 45 28 3E 45 28 40 47 25 44 46 25 45 42 24 41 41 24 40 44 25 42 40 25 42 3D 24 3F 3B 24 3D 3C 24 3C 3B 21 3C 38 21 3B 37 21 38 38 21 39 3B 22 37 40 29 37 47 30 3C 4B 34 40 4D 34 3E 48 2E 3B 45 2B 3D 3D 24 3D 37 21 39 37 21 3A 39 21 3C 37 1F 3B 37 21 37 39 2A 2C 43 38 2C 53 4B 21 62 5A 21 6A 66 20 6E 6D 20 73 71 29 70 6F 22 6B 6D 1F 6D 6A 1C 67 65 14 5D 5E 17 52 4F 1E 45 3B 25 39 28 2F 34 21 36 38 22 39 3B 23 3A 3D 24 3A 3E 23 37 3B 23 39 3A 23 3D 3B 25 35 40 30 2F 4B 42 25 58 53 20 66 5F 1B 6A 69 16 6B 6A 14 69 68 11 67 68 14 66 66 18 60 62 17 5D 5F 19 5C 5A 1B 58 52 23 50 44 26 41 32 2A 36 26 32 3A 22 37 42 21 3C 3D 26 3E 3E 26 3D 3F 26 3D 3B 26 3B 3C 27 3C 3F 27 3C 3E 24 3A 3D 22 3D 3D 23 3F 3D 26 40 3C 27 42 40 27 40 44 27 40 45 28 40 45 27 3D 46 25 41 44 24 42 3F 25 3F 3E 27 3E 43 29 40 43 26 40 41 27 44 43 28 44 43 28 40 42 27 3F 41 27 3E 41 26 41 44 25 42 46 26 44 41 25 40 3D 26 3F 3E 28 3D 45 2F 38 88 74 66 AE 9B 82 BA A5 8B C4 AC 93 CF B5 9B D4 B8 98 DC BF A2 E3 C7 AE E3 CB B4 E5 CE B7 EA D0 B5 E9 CE B1 F1 D7 BD F7 DD C7 F8 DF C7 F8 E0 C5 F6 DD C1 FC E0 C3 FF E9 CD FF F0 D9 FF F2 E0 FE F1 DD FF EE D9 FE EA D3 FE E5 CC FF E8 CC FF E7 CB FF E5 C8 FE E0 C4 F8 DB C1 F3 D5 B5 EF D0 AE ED D0 AF EE D0 B1 EA CE AD E7 CB A9 E6 C7 A7 E3 C5 9F DF BE 93 D8 B6 88 D6 B3 88 D2 B0 87 CF AD 83 C9 A9 7E C4 A6 7C C6 A9 7E B8 9B 6B A8 8F 59 9B 85 49 82 6F 3F 4C 32 30 47 27 3C 48 27 3C 44 28 3E 44 2B 43 40 29 3F 3C 25 37 3E 27 3A 43 27 3C 45 26 3C 42 25 3D 41 24 3C 3D 23 39 3F 26 39 41 25 3A 3D 24 38 3B 27 3B 3E 24 3A 3C 23 35 44 32 27 69 59 22 82 77 16 82 7C 0E 82 7B 0C 81 79 0E 81 7D 15 83 83 1B +4B 26 47 44 27 45 40 26 41 43 27 44 45 27 46 42 26 42 46 26 41 46 26 44 46 26 46 45 25 44 45 24 43 44 23 44 41 23 42 40 25 3D 3F 24 3B 3F 26 40 3F 25 3F 46 25 3F 46 25 41 45 27 3F 43 26 3F 45 25 43 43 26 43 40 24 41 41 24 42 41 24 41 3E 23 3C 3E 24 3F 3D 25 43 3B 27 3E 38 26 3A 34 25 38 3E 2C 32 49 3A 2E 55 48 28 5C 55 22 63 5E 22 68 62 21 66 62 21 5F 5D 1E 58 52 26 4D 43 2E 42 32 2F 3C 27 31 3A 27 32 3E 31 28 4E 46 25 5C 5D 1D 69 6A 1C 71 71 10 74 74 12 79 75 11 7B 76 11 78 77 14 79 79 13 7F 79 1B 80 7C 26 76 77 10 6D 72 0A 69 6F 14 63 66 16 53 50 1A 3E 36 22 34 25 32 38 24 3A 3C 23 34 3D 25 35 39 24 3A 39 2B 31 4C 46 27 61 61 1D 6E 70 10 76 74 11 7C 79 12 7E 7A 15 79 78 13 76 78 0F 74 77 0B 71 75 11 6F 72 0B 6F 70 0A 72 70 0F 6C 6C 0F 64 64 0E 5B 5C 0F 4D 4C 1D 43 39 26 40 29 35 3F 26 3F 3C 26 3E 3B 28 3D 3B 26 3D 39 25 3B 3D 23 39 3E 23 3B 3F 23 3D 3F 26 40 3D 29 42 3F 28 41 3F 26 41 41 26 42 45 27 43 42 27 3E 41 27 3E 40 26 3E 40 24 3D 3F 24 3D 40 28 40 44 26 3F 43 26 3E 44 29 3F 44 2B 42 43 2A 45 43 28 45 44 25 41 45 24 3F 45 25 40 42 27 41 3F 27 3B 3D 28 37 52 3F 3C 9A 86 70 AF 9C 7F BB A5 87 C8 AE 90 CF B4 97 D5 BB 9C DA C1 A5 DB C3 AB DE C4 B0 E3 C8 B4 E5 CA B1 EA D0 B5 F2 DA C1 F3 DA C1 F1 D8 BB F3 D9 BB F9 DE C1 FC E2 C6 FC E4 C9 FB E5 CA FA E2 C3 FC E2 C4 FF E2 C4 FF E5 CA FF ED D4 FF EF D5 FE E9 CB F8 DC BB F5 D6 B3 F5 D5 B3 F4 D6 B7 F2 D6 B8 F0 D4 B5 EE D2 B3 ED D0 B0 E8 CA A9 E3 C2 A2 DC BD 96 D9 BB 91 DA BA 94 D8 B7 8E D1 B1 83 CB AA 80 C3 A5 75 C5 A9 7A C0 A5 78 B3 99 65 A5 8E 56 96 82 49 62 4C 2E 42 27 36 45 2A 3E 43 28 3D 44 2C 41 45 2A 42 44 2B 41 3D 28 3B 3C 27 3A 3F 27 3C 40 26 3B 41 28 3B 41 26 3A 3C 24 3A 3F 26 38 40 23 38 3E 23 36 3A 23 35 3B 26 2D 4D 39 25 69 5E 23 81 76 1C 83 79 0F 82 7D 10 81 7B 0D 81 7A 0B 85 7F 06 8B 84 0A +4C 26 45 48 27 44 45 25 3F 46 27 41 46 27 42 45 23 42 45 25 44 44 26 46 46 28 47 45 26 42 43 24 3D 3F 24 40 3D 24 41 3F 24 3E 3F 28 3D 40 28 41 41 25 41 45 26 40 46 27 44 47 27 45 46 25 42 46 26 42 47 24 40 46 23 41 44 23 42 41 24 43 40 24 3D 3F 24 3D 3D 23 40 42 26 3C 43 2F 32 4D 45 2C 5C 57 1D 65 63 15 6C 6C 10 70 72 0B 75 76 0F 7A 77 11 7B 79 0D 7B 7A 10 74 76 17 6C 71 20 65 68 1E 57 56 1D 50 4E 1E 5C 5B 1C 6A 69 17 6F 6E 12 72 71 0A 7A 77 0D 7A 7B 11 7B 7D 10 7F 7F 10 80 7E 11 82 7D 0A 81 7C 0E 7E 80 1F 7B 7F 15 7B 7D 0D 76 79 0D 70 74 12 69 6A 12 5D 5C 17 43 3C 25 35 26 30 39 22 37 39 21 36 3D 2C 26 5E 58 28 70 73 1B 73 7A 18 7A 7B 18 81 7E 17 82 81 19 84 82 18 84 82 0E 83 82 0E 82 80 16 80 7D 10 7C 7A 0F 7A 78 13 7A 79 16 78 74 0E 6F 72 0D 64 6B 0E 5F 63 0D 56 56 12 4D 45 22 46 37 31 38 28 3A 3C 27 3D 3F 27 3A 38 24 36 38 22 3C 3E 24 3D 3E 26 3B 3C 25 3C 3D 26 43 3F 26 46 3C 25 42 3D 24 3E 44 27 43 44 28 45 41 28 43 41 28 41 42 27 3E 43 28 41 3F 27 42 42 25 40 45 28 3F 42 26 3C 40 26 3C 41 28 3E 42 28 3F 41 28 3F 41 27 3D 41 26 3D 43 26 40 43 29 3F 3F 27 33 66 51 47 A2 8F 71 B3 9C 7C BE A8 8A C5 AF 90 CF B6 96 D3 B9 9D D4 BB A0 D6 BE A5 DB C2 AC E0 C6 B2 E6 CA B2 EC D2 B7 EA D2 B5 E9 CF AD EB D3 B4 F1 D8 BA F6 D9 BB F6 D9 BA F8 D9 BC F8 D9 BD FB DD C1 FF E2 C5 FF E9 CE FF ED D2 FE EB CE F9 E1 C0 F5 D6 B5 F3 D5 B4 F4 DA BA F8 DC BD F6 DB BD F7 DA BC F6 D6 B5 F0 D0 AB E7 C8 A4 E0 C2 9E DD BE 9B DB BD 99 DB BF 97 DA BB 95 D4 B4 88 CA AD 7E C7 A7 7E C6 A8 7A C0 A8 77 B6 9E 6E B2 98 6B A3 8D 54 88 75 43 49 31 28 42 26 3B 42 29 39 40 2A 3D 42 2B 3D 46 2B 3D 47 29 3D 42 26 3A 3F 27 3A 42 27 3D 41 27 39 3E 27 33 3E 27 35 3E 25 3D 3F 26 39 3E 25 35 3A 24 32 3D 2A 26 59 4B 18 76 6B 1A 7D 76 16 7E 7A 0B 82 7B 09 86 7C 09 84 7D 0B 86 7D 0A 85 81 04 87 87 0A +42 25 3F 45 28 41 41 27 41 43 26 45 43 27 41 42 26 41 42 27 43 43 27 42 41 25 40 43 26 43 40 24 42 3D 24 41 40 23 40 40 27 40 42 28 42 45 25 43 42 27 43 41 27 42 41 27 45 40 29 47 40 27 46 45 25 46 42 24 40 41 26 41 42 26 41 41 24 43 3F 27 42 3C 25 3C 3B 27 37 47 39 2B 56 52 24 60 61 1C 66 67 10 6D 6B 0A 6E 71 0F 72 75 15 76 77 12 7A 7B 0C 7C 7C 0B 82 80 10 85 82 12 84 81 15 82 7F 17 79 78 16 6A 6C 14 60 64 0F 67 6B 14 71 73 16 77 77 0A 7B 7A 0A 7D 7F 13 81 81 11 85 81 10 87 80 13 87 82 14 85 83 17 82 83 14 82 82 16 82 81 16 7D 7E 0D 7C 79 09 72 73 08 63 6C 0A 55 5C 15 3D 39 27 33 24 35 38 26 2D 50 4B 1F 75 72 1E 7B 7C 15 7E 81 1B 86 84 19 89 85 19 88 88 16 89 8A 16 8C 89 1A 8C 8A 18 89 87 18 86 85 19 85 81 19 83 7F 0F 80 7B 08 7D 79 0B 74 76 0C 6B 70 0D 66 69 09 63 61 0C 5E 59 0E 56 55 12 48 41 27 3C 2B 30 3B 23 37 3E 24 3B 3B 24 39 3B 25 3A 3C 25 3E 3E 24 41 40 25 41 41 27 3E 3F 26 3E 3E 25 3E 41 28 3F 42 25 3F 41 26 40 44 29 43 43 27 3F 3F 26 3F 3F 29 40 3F 28 40 42 29 45 3E 27 43 40 26 3F 44 27 3E 43 26 3E 42 25 40 43 24 40 42 25 3E 43 28 3F 44 29 42 44 29 36 77 67 5B A3 94 76 B1 9C 7C BB A7 85 C3 AE 8B C9 B2 93 CA B2 94 D0 B6 9A D5 BB A1 DB C3 A8 DD C5 AA DF C4 A4 E0 C6 A5 E3 CB AE E9 CF B4 EC D5 BC EF D7 BD F2 D7 BA F4 D8 BB F7 DB BD FB DE C5 FE E3 C8 FF E6 C8 FE E5 C5 F6 DF BB F3 D6 B1 F5 D4 B5 F9 DA BF FB DE C3 F9 DD C0 F8 DE C1 F9 DE C0 F7 D7 B5 EF CB A3 E6 C5 9E E4 C5 A3 DF C3 A2 DF C2 9F DE C1 9E DB BE 9A D8 BA 92 D2 B3 87 CC AD 81 CB AB 80 CD AE 81 BD A1 6F B7 9C 6C B0 9A 65 A2 91 53 77 68 37 40 28 25 3F 28 3C 3D 28 39 42 28 3A 43 27 39 43 28 3B 43 27 3D 41 26 3E 40 28 3A 44 26 3B 43 23 3A 3F 26 39 3C 25 37 3B 23 38 3D 25 37 3D 25 33 43 30 28 5D 53 1D 77 71 11 81 78 0D 7E 78 0A 7E 7A 11 82 7C 15 84 7B 07 88 7E 02 87 7F 04 88 81 0B 82 7D 0D +48 27 41 43 27 43 41 28 42 42 26 45 45 28 43 45 28 44 45 28 46 46 27 44 44 26 42 43 27 44 3F 26 3F 3E 25 3B 42 23 3B 3F 27 3F 3F 26 3E 42 24 40 3F 25 40 42 27 3F 42 27 3D 44 31 37 47 38 30 4B 3C 2F 4E 41 2D 4B 41 2A 49 3E 29 48 3A 2B 47 37 31 43 31 30 47 3C 25 54 55 1A 60 60 16 64 69 13 6A 6E 0D 73 72 06 77 77 0A 78 7A 10 7C 7C 10 80 7D 08 81 7E 0E 85 81 10 87 84 12 89 87 1B 87 86 1F 82 83 17 78 7B 0E 6B 6F 08 65 6A 0A 6D 71 11 79 7C 1C 7C 7F 13 80 7F 06 86 82 05 87 83 0A 89 88 1A 8D 8C 1D 8C 89 17 8A 88 0D 89 87 10 8A 86 12 84 82 0E 82 7E 0C 76 78 06 6A 71 05 5F 6B 10 4E 56 1B 36 30 1E 45 3D 1B 69 6D 20 7A 7C 18 85 84 18 8A 8A 1A 8D 8D 16 8F 8F 16 90 8F 13 91 8E 13 92 8E 21 90 8C 20 90 8A 1B 8D 87 1B 8A 85 17 85 82 0C 83 7E 0F 7E 7E 14 79 7A 0F 73 75 0B 6E 71 0C 6E 6D 0A 64 68 09 59 63 0C 56 59 15 48 43 1F 3B 2D 2D 3A 26 39 3A 25 3A 37 22 38 39 22 3A 3E 24 3D 3F 24 3B 3F 26 3D 3B 25 3F 3A 25 3E 3F 27 3A 44 27 3B 43 26 3D 41 28 40 3D 28 3F 40 27 3F 43 28 3F 42 27 3F 42 28 41 3F 25 3E 40 25 3D 40 25 3D 3F 26 3C 42 27 3E 45 24 3B 46 26 3B 44 29 3E 40 29 3F 49 34 3B 85 78 63 A5 94 6F B3 9E 79 BB A7 84 C0 AD 8C C0 AC 8D C5 AC 8D D0 B4 9A D7 BC A2 D6 BE 9D D7 BC 9A DB C0 A4 E0 C7 AD E6 CC B3 E8 CF B4 EA D0 B5 ED D2 B6 F0 D3 B8 F2 D6 B9 F5 DC BF F9 E0 C8 FC E0 C2 F7 D8 B6 F2 D1 AC F2 D5 AF F9 DB B9 FE DF C2 FC DF C6 FA DC C3 FC DD C0 FD DC BC F4 D6 B5 E7 CB A7 E4 C6 A2 E7 C9 A8 E6 C7 AA E3 C6 A6 E1 C8 A2 E0 C3 9D DE BF 97 D6 B7 8B D2 B2 88 D0 B1 85 D1 B2 87 C7 AB 7D B8 A0 6E B6 9E 6D B0 9B 69 A2 93 5D 6C 5D 3A 39 23 29 3E 24 3A 3D 24 36 3F 27 3B 3E 26 3A 41 26 39 42 26 3B 3F 25 3C 41 26 38 42 26 3A 41 25 39 3E 26 35 3E 26 37 3B 23 33 3C 26 2C 4E 3F 1F 6B 5F 20 7C 72 18 81 76 16 7E 78 0E 7D 76 05 7F 77 09 83 7D 0F 84 7F 06 8A 81 03 89 80 00 82 7A 01 7D 78 06 +47 28 42 46 29 44 44 29 43 48 28 46 49 27 46 46 27 44 45 28 46 45 27 43 44 27 40 3F 25 3C 3E 22 3D 3F 22 3E 43 23 3F 43 22 3F 3F 24 3C 40 2C 34 47 38 34 52 46 2B 5A 53 1F 61 5F 16 65 66 13 6B 6D 19 6D 70 19 73 71 14 6E 70 14 6B 6D 1A 6B 68 17 68 64 17 64 63 15 63 65 14 68 67 13 6D 6C 12 70 74 0E 7B 77 09 7E 79 0A 7F 7C 06 83 7F 08 86 80 0D 89 83 11 8A 85 12 8B 86 15 8E 87 17 8E 87 14 8C 87 0F 85 83 0C 7B 78 08 6F 71 03 6B 71 04 73 79 13 7E 81 17 81 82 0E 84 83 0A 88 85 0E 8B 89 15 8F 8E 20 90 90 23 8F 8E 1A 8E 8C 0F 8E 89 08 8B 86 0B 84 82 15 7E 7D 13 7A 78 0B 70 74 07 61 68 07 4E 53 05 5C 62 0B 78 7B 10 86 84 11 8D 8A 13 8F 90 1A 93 91 21 93 92 1B 92 90 16 93 90 20 94 8F 27 90 8C 21 8F 8A 1F 8B 88 1E 88 86 1E 83 82 18 81 81 1E 7C 7F 1B 77 7C 13 74 79 13 71 76 14 6E 71 0B 67 6C 0D 61 67 0B 5A 5F 0D 51 58 11 44 45 19 39 2D 2C 3A 24 39 38 22 39 3E 23 3A 40 22 3A 3B 24 3A 38 24 3A 3B 24 3B 39 23 37 40 26 39 41 26 3E 3B 24 3C 3E 27 3E 42 27 3E 42 26 3D 42 26 3E 41 27 3D 40 27 3C 3E 26 3A 3D 24 3C 3E 25 3E 3F 29 3D 40 2A 3B 3F 27 38 44 27 3C 48 27 40 46 25 3A 5A 41 3F 90 7E 66 A4 93 72 B4 9F 7E BE A9 86 BC A7 83 BB A8 83 C2 AD 8C CF B7 98 D1 B8 93 D0 B7 91 D5 BD 9D DB C2 A6 DF C6 AA E1 C8 AA E2 CA AB E5 CB AD E8 CE B1 EE D3 B7 F3 D9 BD F5 DA BE F2 D4 B4 EF CC A8 F2 CF AA F9 DB BD FB DF C3 FC DB C0 FA DC C2 FA DD C3 FB DE C3 FD DE C3 F6 D7 B4 E9 CD AA EA CE AE EE CF B4 EA C9 AB E7 C8 A6 E6 C8 A4 E2 C5 A2 E1 C4 9F D7 BA 8F D3 B5 89 D2 B4 8E D1 B5 8D CD B1 84 BD A4 73 BB A4 72 B6 9D 6B B2 9B 66 A4 90 5D 6D 5A 3C 3B 23 2A 3E 23 39 3F 21 35 3C 22 38 3B 24 36 3C 26 38 3E 24 38 42 21 3A 44 25 3B 3D 27 39 3D 26 36 3B 24 36 3D 25 32 4A 33 26 5F 4E 20 74 6C 1B 7C 75 17 80 77 10 7F 77 0A 7C 76 08 7C 76 07 84 7A 02 88 7E 03 88 82 0A 87 83 0F 7F 7B 0D 7A 78 08 7E 7C 0E +42 25 43 42 25 42 42 28 43 49 2A 45 4C 2A 46 46 27 44 44 28 42 45 27 41 42 24 3F 3F 24 3F 3F 23 40 42 23 42 3F 26 3A 43 30 32 4E 41 25 58 56 1F 64 65 22 6D 6E 19 72 73 0E 76 75 0A 7A 78 10 7C 7B 16 7A 7A 13 7C 79 19 77 77 1C 77 77 1B 76 73 18 74 71 15 74 72 17 71 72 18 72 72 18 76 73 1E 71 72 17 75 74 11 81 7D 13 87 85 16 89 86 11 8B 84 0E 8C 87 12 8E 8A 16 92 8B 18 92 88 14 92 87 0F 8E 87 0C 89 87 0C 81 81 0A 79 78 03 6F 73 00 70 75 08 7E 7F 18 84 85 17 83 84 0D 87 87 0D 89 89 13 8C 8D 1D 8F 91 27 90 91 24 92 90 1A 92 8E 15 91 8D 0B 8B 8A 0E 83 84 10 7F 7F 09 79 79 04 6E 72 01 64 6B 00 73 77 05 84 83 0F 8E 8C 1C 90 8F 1B 91 91 1E 97 93 21 95 92 1B 91 91 20 91 90 28 93 8E 20 92 8D 17 8E 8A 1B 8B 89 1C 88 85 19 84 82 1E 7F 7E 18 79 7C 11 75 79 18 74 78 18 72 75 0D 6B 72 09 69 6E 09 65 69 05 60 64 06 57 5F 0D 4C 56 12 40 43 1E 35 2A 2E 38 23 38 3A 24 38 38 22 38 38 22 3A 3A 22 39 3D 23 3A 37 22 38 3A 22 3A 3C 24 3B 3F 26 3F 41 28 41 40 27 3D 41 25 3D 40 26 3B 3C 24 38 3C 23 39 3E 26 3A 43 27 3D 42 27 3B 40 27 3A 41 25 3B 41 24 3C 41 26 40 40 27 41 46 28 39 6C 54 4B 97 85 64 A6 94 6E B4 A1 7B B9 A3 80 B7 A0 7E BE A8 85 C5 AE 8D C9 AE 8E CC B1 8E CF B8 98 D4 BC A2 DC BF A4 DC BE A1 DB BF A1 DD C2 A4 E1 C7 A9 E8 CD AE EC D1 B0 E9 CC A9 E8 C8 A1 EA C9 A2 F0 CF AF F6 D6 B7 FB D9 BE FE E0 C6 FE E0 C5 FB DE C0 FC DD BC FC DE BD F5 D7 BA EF D1 B6 F3 D3 B7 F1 D2 B4 ED CD B1 EB CB AF E8 CA A7 E3 C7 A0 E1 C5 A2 DA BE 98 D6 B9 90 D5 B8 8C D1 B6 8A D0 B5 89 C7 AA 78 C4 A8 74 BF A4 74 B3 9B 6A AF 9A 68 A3 91 62 71 5B 3E 3A 20 26 3A 24 35 3A 22 30 3A 22 37 36 23 34 39 24 33 3A 21 32 3D 20 34 3D 25 35 3D 26 38 40 23 34 42 28 2F 53 41 28 6E 64 20 79 73 11 7F 76 0D 81 77 0D 7F 76 0B 7C 75 0D 7A 75 11 7D 77 10 84 7D 0A 87 82 09 86 82 0D 7F 7D 0B 7D 78 0F 81 7B 0B 85 80 09 +42 25 3F 42 23 42 42 26 44 46 29 46 49 2A 45 49 27 43 49 27 46 42 26 43 40 25 46 40 24 42 3F 27 3E 44 31 31 4D 45 26 59 59 1E 62 65 18 69 6F 0F 70 73 17 75 73 14 72 72 14 6C 6C 12 69 69 0A 66 65 0C 68 65 0E 70 6C 17 74 72 1A 76 73 17 78 74 20 77 70 20 75 6E 1F 6E 6D 1C 69 69 17 6C 6A 1A 6E 6B 1B 6B 6C 16 72 6F 0F 7F 7B 16 8C 87 20 8E 8A 24 8C 89 1C 91 8A 1A 94 8C 1B 93 8C 20 90 88 1B 8D 89 16 88 86 0F 87 84 0D 80 7F 08 76 78 04 75 75 04 7B 7A 03 82 83 0D 86 86 11 89 88 0A 8C 89 0B 8D 8B 1A 8F 91 23 90 93 23 91 91 24 92 90 1E 95 8F 20 93 8E 1B 88 88 0F 84 84 0C 7F 7E 06 77 79 02 6E 75 00 7C 7D 01 8D 88 06 91 90 18 91 91 21 93 92 24 95 92 20 96 91 19 92 8F 1C 8F 8E 19 92 8B 18 8C 8B 1E 8B 89 1E 89 88 1E 82 84 1B 81 83 1D 7F 7F 19 7A 7D 15 76 7C 10 72 79 0E 70 74 0C 6E 71 08 6A 6E 07 65 6B 09 5E 67 0A 5B 61 0A 53 5A 0E 4B 51 17 3F 3E 22 3C 29 2E 38 1F 2F 34 21 31 37 21 36 3A 21 38 39 20 37 38 21 38 37 22 39 39 22 3D 3E 25 41 3F 26 3E 3F 28 3C 42 27 3E 3F 25 39 3E 24 38 42 26 3C 42 27 3F 44 27 41 45 27 41 42 27 3F 41 25 3D 3F 24 3D 3B 27 3B 39 24 35 50 3A 3D 89 75 5F 99 86 64 AA 96 71 B2 9D 75 B4 9E 74 BC A4 84 C1 AA 8E C1 AA 8C C2 A9 84 C9 AF 8B CE B5 9B CE B7 99 CF B6 99 D1 B7 9A D8 BA 9D DD C2 A6 E2 C5 A4 E1 C3 9E DD BD 9B DF C0 9E E6 C7 A2 EC CD AA F0 D1 B3 F2 D0 B2 F4 D3 B4 F7 D7 B9 FA DB BC F9 DC BB F8 D9 B6 F1 D1 AF EE D1 B1 F1 D3 B5 EF D0 B2 EE CE B0 EF D0 B1 E9 CB A8 E2 C6 A3 E1 C5 A3 DC BF 9D D9 BD 96 D7 BB 91 D4 B8 90 D3 B8 8C CE B1 81 C3 A7 71 C4 AB 7A BB A4 77 B3 9C 6C B1 9B 67 A7 92 5F 78 63 3F 36 20 24 35 1F 33 37 22 31 3B 20 34 37 20 32 32 24 32 35 22 30 37 22 30 39 25 37 37 23 2F 40 2B 29 5D 4A 23 76 6C 24 7B 75 1A 78 75 0C 79 76 0D 7B 77 09 7C 76 0A 7C 76 0D 7F 77 11 83 7B 10 86 7F 0B 83 7F 09 7C 7D 0B 7C 7B 0B 80 7B 0A 83 7E 08 88 83 07 +40 23 3E 3E 25 40 40 27 42 46 27 43 49 28 46 4C 2C 49 4A 2A 4A 46 27 49 44 29 45 46 31 36 4E 42 23 5E 5A 1B 66 67 16 6A 6C 15 6C 70 1C 6B 71 15 69 6C 12 64 63 13 5E 63 0E 5E 67 11 62 69 16 65 6A 0F 6C 6D 0E 78 73 17 7F 7B 12 81 7C 19 81 7B 20 81 7C 1A 7B 78 0F 79 75 0B 73 71 0C 73 6F 07 6F 6C 08 69 68 0F 67 64 0C 69 63 0E 72 6A 16 8A 82 2A 96 8E 2F 92 8B 20 90 8C 1A 93 8E 1F 91 8D 20 8D 8B 1C 8A 88 14 89 85 0C 85 82 05 7E 7C 03 7A 79 07 7A 7C 07 80 81 08 8A 85 13 8C 88 0F 8B 8B 0B 91 8E 1D 95 90 25 97 93 22 94 94 21 93 92 25 96 93 29 95 90 28 90 8B 22 8A 87 1B 86 84 0D 7D 7E 05 77 7C 06 7F 83 03 8E 8A 07 94 91 1B 95 91 27 98 93 25 97 95 28 93 90 26 91 8D 15 8E 8D 11 91 8B 1D 8A 89 27 87 87 23 87 85 23 80 84 22 7E 83 1F 7F 80 1A 7E 7C 12 79 7A 08 77 7A 08 73 74 0B 70 72 09 6D 6F 0A 68 6D 0B 61 6A 09 5C 65 06 59 5D 08 56 58 0E 50 51 18 43 3D 1F 39 25 27 36 20 31 38 20 34 38 1F 32 37 21 31 38 22 35 3A 21 3A 3D 23 3B 3E 27 3C 3E 25 39 41 25 3B 41 26 3E 3D 25 3B 3E 27 3C 40 27 3F 3F 24 3E 42 23 3D 42 23 3D 3F 25 3F 3E 24 3C 40 25 3C 3D 25 3A 3F 22 36 5D 46 42 8F 7F 60 96 87 60 A8 94 71 AD 9A 73 B2 A1 78 BA A6 83 BB A4 82 BD A6 87 C2 AA 8B C5 AB 8B C6 AC 8A C7 AE 8C CA B2 95 D2 B9 9D D9 BE 9E D7 BD 9C D6 B9 98 D9 B9 9C DC BF A3 DF C4 AA E4 C6 A6 E8 C8 A6 EB CD AC F0 D2 B3 F3 D4 B1 F1 D0 AC EE CC AB EB C9 AA EB C9 A9 EC CC AD ED CE B2 EE CF B4 ED D1 B3 EC CF AF E8 C7 A4 E4 C2 9F E2 C2 A4 DF BF A0 DE BD 9A DB BC 96 D2 B9 8D D1 B7 8D D3 B7 89 C9 AB 7B C5 A9 77 C4 AB 7E B7 A4 73 B3 A0 6E B4 A0 68 A6 94 5B 84 72 4C 3D 27 25 36 1F 34 39 21 32 3C 20 2E 38 20 2E 35 24 30 35 21 2D 34 21 2C 38 27 29 4A 3D 22 69 5D 1C 7F 70 16 80 74 12 7D 73 11 77 71 0D 77 73 0D 78 77 0D 7F 77 10 83 77 0D 87 7E 0D 89 80 0C 84 7E 05 7E 7B 01 7E 7D 05 82 7C 08 83 7E 0D 85 82 10 8B 87 0E +43 22 3F 42 24 41 41 26 41 42 26 3F 43 27 40 46 2A 45 45 2A 47 48 2E 3D 4E 3F 30 56 55 1F 64 68 1E 69 6F 17 68 6F 14 65 6E 11 60 66 13 5E 62 0F 61 65 0B 65 68 09 66 6C 0D 6A 6E 15 6D 71 17 6B 73 0E 70 74 08 73 76 0A 77 7A 09 7D 7D 08 7D 7C 0A 7B 7C 11 78 7A 0F 7A 7A 09 7C 79 04 79 79 01 75 77 08 6E 71 12 6C 6B 0F 69 66 0F 64 65 14 6D 69 12 86 7E 23 96 91 30 94 8D 23 91 8A 17 94 8C 1E 92 8D 23 8D 89 1A 8C 86 0E 89 83 07 81 80 03 7E 80 03 7D 7C 03 7F 7B 09 85 7F 15 87 82 11 89 87 0A 8E 8D 16 92 8F 24 9A 96 27 9A 98 25 99 93 1E 9D 94 22 99 92 28 93 8F 2B 8F 8C 1F 86 88 10 80 81 07 80 7F 03 85 84 05 90 8B 0D 95 93 1F 98 94 2B 9D 96 2C A0 99 2D 97 96 2F 93 92 27 91 8F 23 90 8D 1E 8C 8A 1C 8A 89 1F 87 86 20 81 83 1E 7E 83 19 7C 81 1B 79 7C 15 77 77 08 74 76 0A 6D 76 15 6B 76 12 6C 71 0A 6B 6E 06 66 6C 05 60 6A 07 62 67 0D 5E 62 0D 55 5C 0F 4A 54 13 3E 40 1C 35 29 28 36 20 33 35 1E 34 39 21 35 3C 21 36 3E 21 3B 3A 23 3A 3A 28 3B 3F 26 3C 3F 25 3E 40 23 3B 3D 23 3A 3B 24 39 3F 24 3A 42 24 3B 40 25 39 3D 26 37 3D 29 39 3E 23 3A 3E 22 39 3C 22 36 3B 20 33 56 40 39 8C 7F 62 95 89 62 A2 92 64 AD 9A 74 B0 9F 7B B0 9F 7C B2 A1 7D B7 A5 82 BD A7 85 BE A5 84 C0 A7 86 C9 AF 8F CD B3 95 CE B2 8D CF AD 89 D0 AD 90 D5 B4 99 D8 B8 9F DB BD A1 DD BD A2 E2 C0 A6 E6 C3 A7 EC C9 AA ED CB A8 E4 C1 9B E4 C0 A2 E7 C4 AA EB C9 AA E9 CA AD EA CB AE F0 CE B1 EF D0 B1 E8 CB AC DE C0 9E DF BE 9A DF BC 99 DC BB 98 DC BE 9B DA BC 9B D6 B8 94 D2 B6 91 D4 BA 91 CB B0 82 C5 A9 7D C5 AA 7C C4 AC 79 B9 A2 6C B8 A3 6D B5 A0 69 A8 96 5F 8F 7F 56 45 30 21 3B 23 34 3C 24 34 3C 22 32 3D 20 33 38 21 33 33 22 25 3F 30 1F 5F 4F 22 77 6C 1E 7D 74 16 80 74 16 7D 73 11 73 6E 0C 71 6E 0F 75 72 0C 7A 77 13 82 79 19 86 7B 07 8B 81 07 88 80 08 7F 7B 03 81 7C 06 83 7B 08 81 7D 0E 86 82 0F 89 85 0C 8F 87 0C +45 27 45 45 25 42 42 25 3D 44 26 43 43 26 44 41 29 3F 49 37 37 56 4C 21 60 5C 13 67 66 11 6C 6D 1C 64 6A 1A 5C 64 19 5A 61 0E 62 65 06 67 6D 07 6B 74 09 71 76 0B 73 76 08 74 75 11 74 75 14 75 78 12 78 79 17 76 7B 12 74 7B 0A 77 7D 06 76 7B 03 74 79 0C 76 7A 0D 76 7A 06 77 7A 07 78 7B 09 77 7A 0F 74 79 14 71 74 13 70 71 0F 6B 6F 11 6C 6A 09 6E 69 05 7F 79 18 92 8B 2D 93 8E 2D 95 8B 24 99 8F 22 98 8F 21 92 8C 20 8A 82 14 7C 7A 0C 77 74 0C 77 71 0E 78 72 12 78 72 0E 79 75 0C 7B 76 0C 7E 77 11 7F 79 17 85 7F 17 8C 87 1E 90 8A 20 9B 92 2F A3 9C 31 A0 97 34 95 92 27 89 8B 0D 82 84 06 81 80 04 88 86 08 92 8F 1B 97 96 2B 9A 97 28 A8 9F 36 B9 AA 4E AA A1 47 9C 98 2C 96 93 27 92 8F 22 8B 8A 1F 88 8A 22 85 88 22 84 84 23 80 81 15 7A 7F 0B 73 79 0B 6F 75 0D 6F 76 0F 6E 77 0D 6E 77 0D 6D 74 0A 6B 71 0A 6A 70 0D 6B 6E 05 6A 6D 06 65 67 06 5E 60 0C 57 5B 0E 4E 54 12 44 42 20 37 27 27 34 1F 2F 37 20 32 39 1F 33 3C 20 36 3A 20 37 37 21 38 3E 24 3C 3E 25 39 3D 22 36 3A 20 33 3C 23 36 3D 24 38 3F 26 39 3E 25 3D 3C 22 3A 3C 23 34 39 22 37 3A 21 39 39 1E 34 3B 20 31 56 3E 37 8D 7C 60 93 84 60 9F 91 63 A8 9A 71 AA 9A 77 AB 9A 79 AE 9C 7A B0 9D 79 B4 9E 7C BC A3 83 C1 A8 88 C1 A9 89 C2 A4 86 C4 A4 81 C7 A9 8A CD AF 93 D6 B5 9A D7 B5 9B D8 B8 9B DD BB 9E E2 C0 A3 E4 C3 A3 E0 BF 9C DC BB 9C E1 BF 9F E4 C3 AA E5 C6 AB E8 C8 AA E9 C9 AE EC CC B1 EC CB AF E5 C3 A1 DE BB 98 DB B8 95 DB B7 97 DA BB 9B DB BD 99 DA BB 9A D7 B7 9A D5 B6 91 D9 BA 94 D4 B6 8D C3 A8 7A C3 AB 82 C6 AA 80 C1 A7 77 B8 A3 6C BA A4 6D B6 A0 68 AB 97 5F 9A 87 58 50 39 25 40 24 39 40 26 3C 3F 24 39 41 22 36 3E 27 2A 51 42 20 72 64 1F 80 72 19 81 76 17 7D 73 11 7C 73 08 75 6E 03 6C 6A 03 6E 6C 0C 77 72 0F 7F 79 0D 84 7D 08 8A 80 06 89 7E 06 83 7C 06 82 7C 06 82 7C 06 81 7B 07 83 80 0F 8B 86 08 8F 86 03 92 88 0A +43 26 43 43 27 40 3F 26 3F 40 26 40 42 28 38 47 3D 2D 51 56 24 57 61 16 60 63 0D 64 66 13 5E 63 14 5C 61 12 5F 62 12 66 6A 0C 70 73 12 74 7C 18 76 80 1B 79 80 1E 7C 7E 1B 7B 80 1E 7B 7F 21 7D 80 1A 7B 80 1B 78 7F 1E 7C 80 16 78 80 0D 77 7F 0F 75 7D 0D 76 7E 07 77 7C 0D 77 7D 15 76 79 0D 74 79 0D 73 7A 13 73 78 10 73 76 0A 76 75 09 77 73 09 75 72 09 73 70 08 7A 76 14 85 81 25 90 8A 26 9A 90 25 93 8B 28 7B 7C 19 70 73 08 77 76 09 7F 79 0B 82 7D 0B 82 7D 0E 84 7D 0F 85 7D 19 85 80 1C 85 81 1A 84 7E 1A 81 7A 17 84 79 18 87 79 15 86 7B 14 90 84 18 98 8F 2B 98 91 27 95 8D 0E 8C 83 03 83 7F 00 8F 89 08 99 95 1F 9B 98 2A 9F 99 28 AD A0 37 C7 B4 5D D0 BA 6E B8 A8 4A A6 9B 34 96 90 25 8C 8C 20 86 8B 22 84 86 24 82 83 26 7C 7E 1D 77 7A 0F 73 76 09 6E 75 04 6D 75 0B 6F 78 10 71 78 12 71 79 1A 71 78 1F 70 74 14 6F 72 0B 6D 70 04 65 6B 07 5F 65 06 5E 61 07 56 5D 0D 4B 58 16 42 45 1C 38 2C 22 31 20 2D 30 1D 31 32 1D 2F 34 1E 30 37 23 2D 3F 2D 2D 45 33 2C 50 42 36 56 47 34 52 45 30 4A 40 2F 46 3B 2B 44 38 2F 3D 2E 2F 38 26 30 36 21 32 37 20 38 49 2E 3C 67 50 53 7D 6A 57 8C 7D 57 8F 81 57 9C 8E 63 A1 93 6A A2 94 70 A6 95 71 AB 94 6E AD 98 74 B5 9D 7D B8 9F 80 B6 9D 7D B7 9D 7C BF 9E 7D C0 A3 88 C4 A9 8F C9 AA 90 CF AE 92 D2 B5 99 D7 B7 98 DA B8 9A D6 B8 9A D7 B8 9A DD B9 9D DF B9 9D E2 BF A1 E4 C2 A4 E5 C3 A5 EA C6 A9 ED CB AC EB C8 AF DF BC 9E DD B7 98 DA B7 95 D1 B2 8D D2 B5 93 D4 B6 96 D4 B3 90 D4 B3 91 D4 B5 96 D6 B9 95 D7 B9 97 C8 AC 85 C7 A8 7D C7 AC 7F C7 AA 80 BE A3 71 B6 A1 6B BA A3 6F B8 9F 6B AE 98 61 9C 8D 5A 58 42 2C 42 26 3A 43 27 3B 42 26 37 45 2E 2B 5F 4D 22 79 6E 1F 7F 78 20 7F 79 19 83 7A 0C 7F 74 08 74 6C 05 70 66 03 6E 67 00 71 6D 05 7C 77 0F 87 7E 0C 8C 82 0C 8B 80 07 83 7D 01 83 7F 03 83 7E 05 7D 7C 08 82 7F 05 89 83 06 8A 87 0A 8B 88 05 8C 87 07 +43 27 45 44 26 42 43 24 41 3F 29 38 46 3B 2B 4D 54 1B 52 5D 15 5A 62 17 63 63 17 5C 61 13 5A 63 12 65 68 14 6D 6F 0A 73 77 0D 7B 7E 1B 7F 83 24 7F 85 28 7D 84 27 7E 82 27 7E 84 21 7F 85 24 7E 84 22 7B 84 28 7D 83 29 81 82 1E 7D 82 19 7B 84 1B 7A 83 17 7C 83 1D 7B 81 1A 7A 81 16 7A 7F 1A 77 7C 17 71 7A 0F 76 7B 0D 77 7B 08 78 7C 07 79 79 0A 7B 78 0C 76 78 0B 73 74 10 73 6E 14 76 71 16 81 7B 1F 75 74 0A 72 77 0B 7C 80 18 87 85 16 89 87 12 87 8A 13 89 89 14 8C 87 14 92 88 18 94 8A 13 93 8A 10 8E 86 08 91 87 19 97 8C 20 99 8B 1E 94 87 1E 8B 7D 12 82 7A 0D 81 7A 10 85 7E 14 88 81 18 86 7F 19 8D 86 1D 92 8C 23 95 8C 26 9F 95 2A AB A0 31 BF AF 4E CB B8 5C D2 BB 65 BE A9 4F 99 92 2A 8C 8E 1F 8B 8A 1D 8A 85 1C 81 81 1C 78 7C 15 74 77 0D 6D 75 05 6F 74 01 72 75 09 71 77 10 75 7A 17 77 7C 21 77 7D 28 72 7B 1C 6E 76 17 6D 70 15 69 6C 0B 62 69 0A 5F 67 0C 5D 61 0D 56 60 0C 50 58 10 48 4A 18 3F 36 22 3C 2C 24 46 36 2B 54 47 2F 61 57 2D 61 5C 24 61 5E 23 66 67 29 6B 6C 2D 6A 6B 2A 62 62 22 5D 5D 1E 57 5A 17 52 53 1B 4D 48 24 42 36 25 3D 30 2E 74 5F 5B 8C 75 6B 80 6E 56 84 75 4A 8C 7D 52 95 86 5F 9D 8C 65 9E 8C 66 9F 8C 66 A6 91 6B AA 95 74 AF 95 75 AE 93 74 B1 98 79 B6 9C 7B BA A0 7D BD A6 89 C1 A9 8D CA AA 8D CF AB 8C CD AE 90 CD AD 8E CF AC 8E D2 AE 90 D5 B1 96 DD BA A0 E2 BE A2 E1 C0 A0 E3 C2 A1 E9 C5 A7 E7 C4 A2 E0 BA 97 DD B6 98 DE B6 99 D7 B2 93 D2 B1 8F D2 B4 90 D3 B2 8F CF AE 89 D0 B0 88 D0 B1 8D D3 B5 92 D7 BD 9A C9 AE 8A C4 AD 85 C8 AE 86 C9 AD 86 C5 AB 7D BA A3 6B B9 A4 70 B9 A2 74 B5 A0 6C AD 9B 61 9E 90 5C 59 44 29 3C 24 31 3E 28 36 4A 37 2A 66 58 22 78 6D 18 7C 74 10 7E 78 1A 7D 7A 1C 81 78 1A 7B 71 0C 6D 65 0A 68 62 0A 6E 69 03 7A 73 06 84 7E 0B 8B 83 0F 8A 83 0D 87 81 08 83 81 08 88 82 05 83 7D 00 81 7E 07 89 82 04 8E 84 02 8A 87 04 88 87 08 8A 87 05 +44 26 42 42 25 40 41 25 39 42 35 28 50 4C 1B 56 5B 16 5D 65 19 5F 64 19 5F 60 10 60 66 0D 67 6D 0A 6D 72 10 73 7A 17 76 81 1E 7B 83 1F 7D 82 1D 7F 83 1B 7E 82 1A 7C 82 1D 7D 83 20 7E 84 1A 7E 83 19 7E 83 1B 7D 84 18 7E 83 1A 82 86 23 83 88 25 89 89 28 8B 89 2E 83 85 23 7D 85 22 76 80 21 7A 7F 20 76 7E 1A 73 7D 17 78 7E 17 78 7F 17 7C 7E 0F 7F 7E 08 7B 7D 0A 76 78 10 75 74 19 75 74 1A 74 77 0C 7C 7D 0B 88 83 0F 8F 8B 19 92 91 22 92 92 23 92 91 21 94 90 1B 98 91 19 9A 91 1D 99 91 19 96 8F 15 93 8D 0F 97 8F 14 9F 93 1B 9B 91 16 98 8D 17 93 88 15 8B 84 11 86 7F 16 7F 7A 18 80 78 22 87 7B 2E 84 74 28 85 78 31 88 7E 39 8A 7F 2F 97 88 32 AE 9E 49 BD AC 54 D3 BE 6C C7 B3 5C A4 9A 39 92 8E 2D 8D 8A 23 85 84 1A 7C 7E 18 76 7A 0C 72 74 05 6D 73 05 72 72 03 75 75 0B 75 79 17 78 7A 16 7A 7E 20 79 7C 24 78 7C 22 77 7A 1F 70 76 19 6A 71 0D 65 6A 0B 62 67 0C 5D 63 08 5F 63 0E 5E 5F 14 5A 5B 1C 5B 58 24 60 5E 25 69 68 2D 6E 6D 2E 6B 6A 1E 69 6A 16 67 6A 17 64 69 16 62 66 12 62 64 12 67 63 17 61 62 16 5A 61 13 58 60 14 56 5B 12 4E 53 16 4A 4B 1A 61 5A 37 71 64 54 58 46 37 77 64 43 87 7B 52 8C 81 55 93 86 58 99 87 5D 9B 8A 64 A0 8C 6A A3 8E 66 A8 91 6C AF 96 7B B3 9A 80 B8 9F 83 BF A6 86 C4 AB 89 C6 A9 8A C6 A7 88 C6 A3 84 C4 A0 81 C5 A5 88 CA A9 8E D0 AC 90 D3 AE 93 D5 B0 98 DA B7 9C E1 BF A0 E3 BE 9E DB B3 94 D3 AE 8B D6 AF 90 D9 B5 92 D6 B3 95 D6 B3 97 D7 B5 96 D2 B0 8E CD A9 85 C9 A7 88 CD A9 89 D0 AD 8C D8 BA 95 D0 B2 87 C4 A8 7E C6 AC 84 C6 AD 85 C7 AB 82 C0 A6 75 BD A4 70 BD A4 74 B8 9F 6C B7 A0 6A AC 98 59 A0 90 5A 54 41 22 35 20 1E 4E 3D 1F 6A 5E 1B 76 6F 17 78 73 14 7B 75 17 7D 74 14 7B 74 17 77 72 1C 70 6B 16 68 62 08 6E 68 07 77 75 0B 81 7E 09 88 81 00 8B 84 01 8B 83 03 87 82 03 86 83 0D 82 80 0B 81 7D 00 86 82 02 8A 84 07 8C 86 04 8A 87 0B 88 83 0C 8D 87 09 +42 27 42 42 27 3D 44 30 34 4B 49 22 58 5A 11 65 67 16 5E 64 15 55 5F 10 60 68 11 67 6F 0D 6C 73 0C 72 7B 1A 76 80 24 78 82 25 7A 81 1E 7A 80 15 7A 81 14 78 81 1A 7A 80 1B 7C 81 1C 7C 81 16 7C 81 1A 7A 82 17 78 81 18 7F 85 25 8B 8A 35 9A 90 3A B8 A5 5C BA A8 63 A2 99 42 88 8E 2F 75 82 1C 78 80 19 78 7F 19 78 7F 1B 78 7F 1C 79 83 23 7F 84 1F 7F 81 0F 7D 7F 0F 79 7A 0F 78 7C 11 7C 80 11 7D 82 05 82 84 09 89 89 12 92 91 1A 96 94 1C 96 93 20 98 95 28 99 97 23 9A 97 1A 9E 98 22 9E 9A 28 9C 98 28 9A 95 25 9B 95 1E 9D 95 16 9D 96 17 9A 94 13 96 8E 10 96 8A 11 91 87 10 8B 83 11 86 7F 18 83 7B 1A 82 76 13 8F 81 30 A5 95 54 97 89 42 7E 71 27 81 74 2D 9E 8D 3F B4 A3 4E BF AE 59 A8 9E 3E 94 8F 31 8A 89 2A 82 82 15 7A 7D 0B 74 76 09 72 73 02 72 73 03 71 73 07 75 77 11 78 7C 1B 7D 7F 1E 7F 82 21 7E 80 23 7F 7E 26 7A 79 1E 70 74 11 6C 6F 0F 69 6B 08 66 67 02 66 66 04 66 64 0C 65 62 17 64 66 22 63 67 25 61 67 1E 5F 64 1C 5F 60 1A 65 62 15 66 64 0F 66 69 15 63 6A 14 61 68 0C 5F 66 0F 63 67 1C 5D 66 19 5B 64 13 5D 64 13 5B 60 12 59 60 1C 56 5A 1F 54 56 24 49 47 28 3D 2D 16 7D 63 43 89 74 4D 87 79 4C 8C 7E 54 95 85 5D 95 86 60 99 84 63 9E 8A 6B A6 90 72 AF 96 7E B9 9E 84 BF A4 86 BC A2 85 B8 9C 7E B9 99 7A BB 9B 7B BA 9B 7A BE 9E 81 C4 A3 8A C9 A6 8B CE A9 8E D1 AC 91 D4 AF 93 D6 AE 8B D4 AD 8C D1 AA 89 D4 AB 8A D9 B1 92 DC B2 97 DC B4 94 D9 B4 96 D7 B5 98 D0 AD 8F CA A8 86 C7 A6 81 C6 A7 82 CC AC 8C D9 B6 98 D0 AD 8C C2 A3 7D C2 A8 7E C1 AA 7E C3 AA 7F C0 A7 7B BC A3 75 BF A6 76 BC A4 70 B2 9C 64 B4 9F 69 A7 95 54 A0 8E 57 53 3E 17 4B 3D 16 6A 64 1B 73 6D 15 72 6E 12 73 6E 0C 74 6C 14 71 69 14 6E 68 0D 6B 68 08 68 66 08 6C 68 0D 7B 74 0E 82 7E 0A 85 83 09 8A 85 05 8E 86 0A 8F 85 07 8B 83 00 89 81 02 85 7F 03 85 80 04 88 84 05 8A 86 03 8A 87 05 88 85 07 88 84 06 92 8B 0B +43 26 42 41 2C 34 48 41 24 55 58 1A 5D 65 1B 5B 62 13 54 5C 09 5C 66 10 65 6E 15 6A 72 0D 72 78 0E 78 7F 21 78 81 23 79 81 22 78 81 1D 79 81 17 79 81 17 79 81 1D 7A 80 1A 7A 80 11 79 80 10 76 80 18 77 82 1B 7A 81 1C 81 87 25 95 8F 34 B2 A1 53 D7 C2 80 D4 C0 78 B8 AB 5B A3 9A 48 85 85 26 7B 7F 1D 7B 7F 1A 77 7F 1E 76 80 1F 7B 85 1E 7D 85 1A 7D 82 0F 79 7E 09 77 7A 09 7B 7D 07 7D 80 04 80 82 05 87 83 05 87 88 05 8A 8C 07 90 8E 0A 93 8F 13 95 91 21 9A 94 24 9C 98 1E A0 9B 23 A1 9C 2D 9F 9A 2B 9F 98 2A A0 98 2B 9F 9A 22 A1 9B 1F A1 99 19 9C 93 13 97 8E 13 93 8C 15 93 8A 0E 8E 86 11 88 84 14 84 7E 0E 7E 76 03 8A 80 27 AB 9A 5B 93 81 3B 80 6F 23 8E 7E 2F 95 86 2D AA 9E 44 A6 9A 39 97 91 2B 87 88 25 7F 7F 18 7A 78 08 71 73 09 6F 72 04 6F 73 03 70 75 0A 78 7A 1C 80 80 23 85 84 2C 83 81 26 7E 7E 22 77 7A 22 70 76 1B 6C 71 14 69 6E 10 68 6C 0E 65 6A 0F 66 66 16 69 66 17 6A 69 11 67 6D 17 65 6C 19 62 6A 17 61 66 1D 5F 63 19 5B 5F 12 57 5C 0F 57 5E 15 5B 65 19 5F 6C 18 60 6C 13 62 69 10 5C 66 16 5A 66 15 59 66 14 5A 63 1C 5A 62 21 5A 60 1F 55 5A 1D 4D 4E 19 49 41 0F 73 61 35 79 66 39 7F 6F 47 85 77 53 89 7A 55 8C 7D 57 93 82 64 98 87 6E A0 8C 71 AD 94 77 B5 9B 7C B2 95 76 AF 90 78 B2 91 7A B6 93 78 B4 93 78 B5 98 80 BA 9B 83 C3 9D 84 CA A3 89 CC A7 8E CC A7 8C CB A3 84 C9 A0 7C CA A2 86 CC A5 8D CE A7 8C CE A7 88 D2 AD 91 D4 B0 93 CF AB 88 C9 A5 86 CA A4 8A CA A6 86 C9 A7 87 CE AE 8D D4 B6 95 CA AB 8B C2 9F 7E C2 A2 7E C5 A4 7E C2 A4 7B C2 A8 7C B9 A4 75 BB A3 73 BB A4 76 B4 A1 6C B2 9E 64 B0 9B 62 A8 97 54 97 8A 51 5E 51 11 69 5D 12 6E 66 0F 6C 66 13 6D 65 14 6B 64 0F 69 62 12 68 63 10 66 64 0E 6C 64 0E 73 69 0A 7B 74 0C 85 7C 07 89 82 02 8A 84 09 8D 87 0F 8E 87 12 8F 85 0A 8A 80 01 87 80 01 85 81 04 84 84 07 85 85 09 89 85 09 8B 85 07 89 83 07 8D 87 0A 94 8F 16 +46 26 3C 44 35 27 50 53 18 5B 60 19 59 5E 17 58 5C 0A 5E 65 08 62 6C 0E 66 70 0D 6F 77 07 77 7F 0F 74 7F 1F 75 80 1F 79 7F 1F 76 80 20 75 81 19 76 80 1A 78 7F 1F 78 80 1B 78 7F 14 79 80 16 76 7F 1A 78 7F 1A 7F 80 15 84 85 1D 96 8F 36 AC A0 51 B9 AC 61 AD A3 4E A6 9D 45 9E 99 44 87 88 27 7C 80 1F 7D 81 1D 80 82 1E 84 84 24 87 88 23 81 87 16 79 81 0B 75 7C 06 77 7B 00 7D 7D 03 7E 80 0D 7E 81 0E 83 80 0A 86 86 0B 86 88 06 89 89 0A 89 89 0F 8B 8C 16 90 90 1C 97 94 20 9E 98 24 A0 9A 26 9E 99 23 A1 9A 20 A4 9C 25 A2 9B 23 A2 9C 20 A2 9B 22 9E 96 21 97 93 1C 95 90 19 93 8C 0B 94 8C 11 8F 8B 16 89 84 0F 87 7F 13 7D 78 1A 82 79 1E 88 78 27 83 71 29 7B 6C 1B 89 7D 28 8B 81 20 99 92 30 8D 8C 25 81 83 1B 7E 7C 11 7F 79 11 7D 7B 1F 74 73 11 72 72 0B 76 78 15 78 7A 22 7C 7C 21 7B 7C 1C 78 7A 1C 75 77 17 70 72 0E 6F 71 10 71 6F 13 6F 6D 15 6B 6D 14 6A 6E 14 6E 6F 1B 71 72 1B 71 75 13 72 73 17 6D 73 1A 6A 73 15 68 70 16 68 6E 1D 66 69 11 60 64 0C 56 5E 11 50 59 0F 53 5E 0C 60 6C 18 69 72 25 66 6D 24 61 69 1C 5D 67 16 58 64 1C 56 5F 13 51 5C 12 50 57 14 4E 50 12 40 42 09 44 3E 11 5E 51 24 73 63 3B 7D 6E 49 7F 71 4A 81 74 4A 8A 7C 5A 93 83 65 96 84 67 9C 85 68 A4 87 6B AC 8D 70 AF 8F 72 AE 8F 72 AD 90 74 AF 91 7A B4 92 7C BA 97 7F C0 9C 82 C3 9E 82 C2 9D 7F C2 99 7C C6 9B 81 CA 9F 86 CB 9F 85 C9 9D 83 CD A3 89 D0 A5 89 CF A3 8A C5 9C 83 C1 9C 80 C4 A0 83 C7 A2 88 C6 A1 80 C9 A5 82 CD AB 86 C7 A6 81 BE 9E 7B C0 9F 7F C2 A2 7C BE A1 7B C0 A5 7C C1 A7 79 BA A1 71 BD A4 72 BA A1 71 B6 9D 69 B4 9F 6A AD 97 5B A9 99 57 90 86 45 66 5E 11 67 5D 15 66 5F 0F 63 5F 0C 64 61 0F 67 61 0E 6B 64 08 6D 6A 0F 70 6E 10 7A 72 0D 82 76 06 86 7D 01 88 83 03 8D 84 02 90 87 01 91 87 06 8E 84 06 8E 82 03 8A 81 02 86 80 09 84 83 0E 88 83 05 8B 84 03 8C 87 09 8C 86 09 8E 89 0E 8F 8C 11 97 91 1C +44 2D 2F 4F 4A 18 5A 5D 13 55 5B 10 53 59 07 5E 63 06 65 6A 08 67 6F 08 6D 74 0B 74 7B 10 76 7E 18 76 7E 1B 74 7E 17 76 7F 1D 74 80 22 76 80 18 72 80 1A 71 80 1D 74 7E 17 77 7F 1D 73 80 21 73 7E 17 76 7E 15 79 7E 13 7F 81 1E 8C 8B 2D 94 90 33 93 91 36 8D 8E 33 8D 8F 36 87 8B 31 7E 86 2E 74 81 26 7A 80 20 91 8C 2F A6 9B 47 A3 9D 3E 86 89 14 78 7F 02 71 7A 02 71 7B 03 76 7D 03 7A 80 0C 78 81 0D 7B 7E 0A 7C 82 09 7C 84 07 81 85 09 82 84 0D 83 87 14 88 8A 14 8F 8E 19 95 94 20 9E 96 21 9D 96 20 9F 98 27 A1 9B 23 A5 9B 23 A1 9C 1F A0 98 12 A0 97 12 9D 97 12 9C 94 10 99 8E 0A 98 8C 11 93 8C 13 90 88 0D 8E 85 11 8A 80 1D 7E 76 12 80 72 1B 96 84 45 73 6A 1C 6E 6C 16 76 75 1C 85 83 29 7E 7F 18 7E 82 19 7C 81 11 81 7E 18 88 82 24 7C 79 1A 73 72 12 77 77 17 78 78 11 77 76 06 75 75 07 74 74 10 75 72 0B 73 73 12 74 76 18 74 74 14 74 71 17 75 74 16 76 77 16 7B 7A 1A 7A 7A 16 77 79 0F 74 77 0A 73 77 15 71 77 11 70 74 0D 6C 72 0F 67 6D 0E 63 6A 0A 5D 64 0E 55 61 0F 4B 5C 0E 4C 59 10 5A 66 1E 62 70 25 61 6E 24 5F 6B 1E 59 66 13 51 5F 0F 4B 59 15 4A 57 14 4A 50 0E 3F 45 0F 2A 30 06 47 3E 17 67 56 2E 71 61 3D 74 66 41 75 62 36 7E 69 41 8B 76 56 8E 7A 5F 93 7C 64 98 7E 68 A3 85 72 AE 8C 78 AB 87 72 A9 87 72 B0 90 75 B3 93 74 B3 93 73 B1 90 73 B4 8F 72 BA 8F 75 BC 92 7C C2 94 78 C5 97 7B C8 9C 81 CC A1 88 CE A5 89 CA A3 84 C5 9D 7E C2 9B 7C C2 9A 7C C2 9B 7A C0 9B 7C C8 A5 84 CB AA 85 BC 9A 74 B2 92 71 B9 98 79 BE 9C 7D C0 9E 7E C2 A3 7E C3 A7 7B BD 9F 71 BE A0 79 BB A4 78 B5 A0 6F B3 9B 68 B0 9C 67 A9 96 5B A9 98 61 85 7A 35 5E 56 0A 5F 59 0E 61 5C 09 64 5E 0B 67 62 12 71 69 12 7B 71 0F 7F 76 0E 80 79 0C 83 7D 0F 86 7F 08 8A 81 06 89 84 0A 89 87 0D 8C 89 0C 8E 85 08 8E 84 02 8D 86 03 88 84 06 85 83 0E 89 85 11 8F 86 08 90 87 06 8F 8A 0C 91 8A 09 92 8D 15 90 91 18 97 91 18 +46 3F 26 53 57 1A 52 58 15 53 56 07 5E 61 02 64 68 00 63 6C 03 68 71 0D 6F 77 19 72 7D 1F 71 7D 1C 73 7C 17 74 7D 12 73 7E 19 74 7E 1A 74 7D 17 70 80 1E 70 80 1F 73 7F 22 75 80 1E 72 81 1E 75 7F 17 76 7E 15 74 7F 16 7B 81 23 87 87 2C 90 8C 2F 8C 86 26 84 83 23 83 84 2E 7A 81 29 76 80 2B 72 81 28 7C 82 2A 97 93 44 AD A4 56 9C 99 3B 77 81 10 6F 7B 08 6F 79 07 71 7B 0A 73 7D 0A 73 7F 0C 73 7F 0F 76 7D 0C 73 7F 07 74 81 08 79 7F 05 7E 80 07 7F 82 0E 85 85 0D 8B 8A 08 8F 90 0F 93 8D 18 96 8E 19 9A 94 13 9B 95 17 9D 98 1D 9D 98 1E A0 98 17 A1 99 11 9F 99 1A 9F 96 17 9C 95 0D 9A 94 0E 9A 92 11 96 8F 12 93 8B 10 90 89 10 84 7F 13 78 71 0B 8C 7E 2F 93 85 41 7B 75 2D 6F 6F 18 7D 7A 19 89 85 25 89 8C 23 7C 81 0C 85 82 1C 8A 84 27 7B 78 17 78 73 0A 80 79 0B 7F 79 0A 7F 79 0C 7B 77 0D 78 78 13 7C 77 11 7C 76 1C 79 75 1E 78 76 14 7C 7A 16 7F 7D 13 7D 7B 11 7A 7A 18 76 79 1B 71 76 14 6F 74 0A 73 76 0D 74 75 0F 73 75 04 6F 74 03 67 72 0A 5F 6D 0A 5C 67 0F 56 65 0F 51 60 11 56 5D 18 56 5D 1C 5D 64 1F 63 6A 22 62 68 1C 5B 63 12 53 5F 15 4C 5A 18 47 56 11 43 4E 0D 3B 46 0E 2E 35 08 2D 2F 06 5A 48 26 64 51 2B 65 5A 33 67 55 2B 71 5A 2F 7F 66 43 8A 71 53 8F 77 5C 8F 76 5C 97 7A 61 9F 81 63 9F 7E 60 A4 7F 63 A9 83 68 A9 82 62 AB 81 61 AC 80 66 AF 83 6C B2 87 6E B2 8B 74 BA 8E 74 BE 92 76 BF 95 7A BF 94 78 BC 90 73 BC 8F 71 C1 96 77 C6 9D 7F C7 9F 81 CA A2 85 CD A9 87 C9 A7 82 B5 95 70 B2 93 6D B6 96 73 BC 9B 79 BF 9D 7D C5 A3 85 CA A9 86 BB 9F 75 B6 9D 70 B8 9F 73 B7 9E 71 B4 9C 6A B0 99 61 AD 97 57 AA 97 57 A4 92 56 78 6A 1E 57 50 00 5D 57 0E 64 5D 0F 6C 63 11 77 6C 0F 80 78 0B 86 7E 05 8A 80 07 8B 82 06 8C 84 05 8C 84 01 90 86 02 90 87 08 8F 88 0E 8F 86 0C 92 85 07 92 87 04 8F 87 04 8A 85 05 89 87 0D 8B 89 10 90 8B 0E 94 8C 10 95 8F 10 98 90 11 9A 8E 12 97 8F 10 99 91 12 +50 4E 22 51 54 1A 4F 54 0E 5B 5E 09 62 65 03 62 69 02 63 6F 09 68 75 18 72 78 1E 71 7C 23 6C 7B 20 6F 7B 20 6F 7C 19 6D 7B 1C 6D 7B 1E 72 7C 1D 74 7D 20 75 7E 26 75 80 26 75 80 1C 73 7F 1A 73 7D 21 74 7D 1E 73 7F 18 7C 82 23 87 86 2D 89 89 31 89 85 2C 85 81 2A 80 80 2A 74 7E 23 72 7C 21 75 7D 1F 82 82 2D 9F 98 4F A9 A4 59 7F 83 1D 70 7B 09 6E 7A 05 6F 78 04 70 7D 0D 71 7A 0A 72 79 09 6F 7D 0D 73 7C 06 75 7E 08 75 7E 07 79 7E 00 7D 80 07 80 82 13 82 85 15 88 88 0F 8C 8A 0B 8C 89 0D 93 8A 0C 96 8E 05 98 90 0D 9B 95 16 9E 97 1A 9F 98 1D A0 98 1C 9F 98 19 9C 98 23 9B 96 20 9D 96 13 9E 96 17 9C 93 1C 9A 8F 14 96 8C 0F 8C 85 14 7C 77 0D 75 6C 0F 86 7B 35 85 7D 32 86 81 27 8E 89 2A 8E 90 31 79 7C 0D 7B 7A 07 8C 8C 23 83 87 27 7A 79 14 79 73 08 81 79 10 84 7C 1D 83 7D 1C 80 7A 13 81 7B 12 7B 77 10 74 72 12 78 75 18 7C 78 13 7B 7E 19 7A 7B 16 75 75 12 70 73 1C 6E 74 1C 6B 72 17 6F 74 14 71 75 0D 6D 75 0A 6F 73 04 6F 73 0B 68 73 0F 60 6F 0A 5F 6A 0D 5A 65 0E 55 63 0B 57 63 14 5C 65 22 5A 63 21 5B 63 21 5B 64 18 55 60 10 54 5C 13 4B 59 16 45 56 0C 42 4D 04 3A 45 08 34 38 07 3B 34 0F 63 4F 30 5F 49 24 5B 4F 2B 5E 53 2F 67 53 2C 7E 62 3D 94 75 51 9C 7B 5C 90 72 56 8F 6D 4E 92 71 4E 92 72 4E 97 75 54 9B 77 5A 9E 76 5A A2 77 5E A5 7C 64 A8 81 69 AA 86 6C AE 87 6C B4 88 6E B5 87 6D B5 88 6F B4 87 6F B5 88 6F B6 88 71 B6 8C 70 BA 92 72 C2 9A 7A C8 A0 81 C1 9B 7B B5 8E 6A B4 92 73 B9 9A 7D BE 9F 80 C0 A0 84 C3 A2 81 C5 A2 7F BC 9D 76 B1 95 6A B1 97 6B B0 96 68 B2 97 66 B0 95 63 AE 95 61 AA 95 5A A7 94 4F 9A 8B 42 68 5F 10 57 53 05 64 5D 09 70 66 0A 7D 71 08 84 7A 09 86 80 09 88 83 04 8D 85 09 8E 85 0B 91 88 0A 91 8A 03 91 8A 01 92 88 04 93 89 04 92 8A 04 91 8A 07 90 8A 0C 8E 86 0A 8D 85 03 91 8A 0C 92 8D 10 95 90 11 9D 91 16 9A 92 14 97 93 16 98 91 13 96 8E 0C 99 91 15 +50 50 19 4F 4E 0F 55 5A 0A 5E 65 09 60 68 05 65 6A 0B 6B 73 16 71 78 1A 76 79 18 70 79 1E 6C 79 22 70 7A 24 6F 79 1B 6C 78 1B 6F 79 20 71 7C 1D 72 7C 1D 74 7E 23 76 80 20 78 7F 1D 77 7D 1D 72 7C 20 73 7C 19 76 7D 15 7E 80 21 88 87 2D 85 87 2B 85 82 29 81 81 29 73 7D 23 6A 7B 24 6F 7C 1F 76 7C 1D 88 86 35 9D 97 4C 88 8B 2D 6F 78 0C 6D 7A 09 6E 78 05 6F 77 07 6C 79 04 6E 78 03 6F 79 0B 6F 7B 16 74 7C 11 71 7E 08 74 7C 00 75 7E 01 78 80 09 7D 82 0C 82 84 0F 83 84 16 84 85 11 88 88 06 8D 8A 07 90 8C 11 96 8F 1C 9B 93 18 9F 96 17 A1 9B 23 A1 99 23 A4 99 1F A3 98 20 A1 99 1E A0 98 1C A2 99 1B 9E 97 1A 9C 93 1C 98 8E 14 93 89 10 87 7F 0D 7B 72 13 7E 75 21 93 89 37 9F 99 42 8A 86 18 77 79 0B 73 75 0B 80 7F 1D 7E 7E 1A 70 78 0E 73 77 17 75 73 17 76 73 1B 7B 76 23 7B 75 17 80 7D 19 7B 77 14 77 74 12 79 77 14 7B 7A 16 7B 7B 14 7A 77 11 6E 70 18 6A 6D 21 69 6E 23 67 6E 19 69 6F 15 6C 72 10 6B 72 0D 65 71 0E 67 72 0A 6A 72 0D 67 72 16 63 71 13 62 6F 12 5D 6B 15 59 67 10 59 64 10 5E 65 17 5E 64 1F 58 5E 1E 52 5E 19 4E 5D 19 4E 5A 15 45 55 0B 41 51 0C 41 4C 08 3A 46 08 30 3C 07 3B 39 14 61 4C 34 58 3F 26 4A 36 1D 4C 3E 21 56 48 27 66 4D 2A 78 56 36 8E 68 4A 89 64 43 87 5E 3C 8E 64 46 8D 65 48 8F 6B 4B 91 6D 51 95 72 59 9B 77 60 A3 7B 63 A6 7D 65 A9 7F 65 AB 7F 64 AF 7F 6A B0 82 6C B3 82 6D B6 84 6F B7 88 70 BA 8C 72 BF 93 78 C0 95 78 BE 92 70 B5 8C 67 AE 87 66 B4 8C 68 B6 94 72 B7 96 74 B9 97 72 BA 99 78 BB 9D 7B B9 97 71 AA 8D 60 A7 8D 5B AA 8F 5D AE 92 5E AC 94 5C A8 92 5B A9 92 5F A5 92 5A A0 91 4C 8D 84 34 5B 59 04 5D 5C 05 6F 69 03 7E 74 07 87 7E 0B 8C 83 04 8E 84 04 8F 86 00 93 86 01 95 89 02 92 8A 09 92 88 0C 92 86 04 93 88 01 94 8A 00 94 8C 03 92 8A 0C 8F 87 08 8D 8A 05 91 8C 0C 99 8F 0E 9B 92 0D 9C 94 0B A1 92 10 9E 93 12 9B 92 1A 95 8E 14 95 8C 0A 9B 8F 10 +4B 4D 18 50 54 10 5B 60 0B 5E 66 09 5F 6A 0B 67 6F 16 6F 75 1D 73 78 1E 73 7A 1A 71 79 20 6D 7B 21 6C 7A 1A 6D 79 19 6E 78 1C 6D 7A 1E 6F 7A 1F 71 7D 1C 71 80 21 70 7F 25 75 7E 23 79 7B 1A 73 7C 1E 73 7B 1A 74 7A 16 78 7B 14 86 83 26 86 86 35 80 81 30 7A 7B 29 6E 79 24 6B 79 26 71 7B 20 7F 82 2A 91 8D 3A 8E 8E 36 70 7C 0D 6C 77 06 6D 78 0A 6B 75 08 6E 75 03 6F 76 02 71 78 09 75 7A 0F 71 7A 0F 72 7C 15 71 7B 0D 71 7A 05 76 7C 05 77 7F 0B 7A 81 0A 7F 80 05 7D 7E 09 7E 80 08 84 84 03 8A 87 07 8F 8B 0E 94 8F 14 96 92 17 9A 96 1C A0 9C 23 A1 9C 1A A5 9B 23 A8 9C 23 AA 9E 1B A8 9C 18 A6 9C 1D A3 9C 25 A0 98 21 9C 93 12 96 8D 0C 90 86 0C 88 7C 0E 80 76 0F 90 88 2C 98 91 39 78 75 12 71 70 11 74 71 15 75 73 0E 77 72 10 78 72 14 79 75 1A 75 75 19 72 72 17 70 70 14 70 70 13 72 73 13 6F 6F 10 70 70 15 73 74 16 6F 74 11 6E 74 16 6B 6E 10 69 68 11 69 68 18 64 69 16 67 6D 15 6B 6F 13 67 6F 0A 68 6D 02 67 6F 0B 5E 6F 0F 5E 70 0F 65 6F 14 68 6F 15 64 71 13 64 71 1A 61 6A 16 5B 66 0C 58 68 19 5C 67 23 5D 61 21 56 5B 1B 4A 56 15 46 53 11 41 4F 0C 3E 4D 08 3D 4B 04 36 46 06 2F 3E 08 2B 32 07 31 2F 11 47 36 24 40 27 17 43 2C 1B 48 33 20 51 3E 23 5F 40 2B 67 43 31 72 49 2C 85 57 36 86 57 35 84 56 37 85 5D 42 88 62 48 8D 68 4F 94 6D 56 9B 6F 5B 9F 71 5D A2 76 62 A7 7A 68 AB 7D 6E AC 7F 6C B0 81 6B B3 83 6F B8 89 6F BE 90 71 BC 8F 71 B6 87 6A B1 80 62 B0 87 67 B2 88 67 B4 8B 67 B3 8B 68 B0 8A 68 B3 8D 6E BA 96 76 B5 96 71 AA 8C 61 AA 8D 61 A9 8E 60 AA 8F 61 AE 90 64 A7 8C 54 A5 8E 55 A6 91 58 A0 8F 4E 9C 8F 53 79 73 26 5B 56 03 70 69 08 81 77 00 86 7E 03 89 82 0B 8E 85 0B 92 86 07 94 88 00 95 89 03 93 87 09 8E 85 09 8C 87 07 8E 89 0A 92 8A 0B 94 8B 06 96 8C 08 93 89 0D 92 8B 0B 99 91 07 9D 93 14 9D 96 19 9F 96 18 9D 93 14 9B 91 1A 99 91 17 96 8E 17 93 8E 15 99 8F 19 9C 94 22 +4F 4F 0B 57 5C 04 5B 63 06 61 67 0C 66 6E 17 6A 74 1F 6F 79 27 6E 7A 29 6D 7B 20 6E 7C 1F 6E 7A 1E 6A 7A 1C 6A 78 19 6F 79 18 6C 79 1A 6E 7B 20 6E 7C 1F 6E 7F 26 6F 7C 27 6F 7C 24 73 7A 1B 73 7A 1B 6B 79 1A 6D 76 15 71 75 12 82 80 29 84 82 34 7A 7E 2D 74 78 27 6E 78 26 71 79 28 81 7F 2A 9C 92 42 A5 9D 4D 7E 83 1E 67 75 08 6C 75 0D 6D 77 0B 6B 75 07 6C 75 06 6E 76 0C 73 7B 12 76 7D 16 74 7C 14 73 7C 0E 72 7C 10 71 7D 12 74 7C 0B 76 7F 0F 7A 80 0F 7C 81 11 7A 80 0C 7B 82 09 7E 86 0C 84 89 12 89 8C 18 8D 8F 19 94 92 19 9B 95 18 A1 99 17 A7 9C 1D A8 9C 26 A6 9D 26 AA 9F 24 AC 9E 25 AA 9E 2D A7 A0 2E A7 9C 24 A3 98 21 9A 91 17 94 8B 0F 94 85 0E 8A 7D 08 83 7B 11 82 7B 14 7F 79 15 7E 79 19 7B 76 10 7B 76 0D 82 7A 16 87 7D 18 85 7F 11 83 7F 0D 7C 7E 18 7A 7E 1D 7C 7A 14 79 7A 10 7A 76 09 76 73 07 72 74 18 68 6E 14 67 6C 14 66 6C 11 67 6C 15 66 6B 13 64 6A 15 68 6D 15 68 6D 10 67 6E 07 67 6E 08 65 6D 10 62 6E 11 5D 6E 0E 62 6C 0F 64 6C 12 62 71 17 63 72 1B 62 6E 1E 5C 68 14 59 65 1E 5E 68 27 60 67 22 59 5E 1A 49 51 12 40 4E 10 3F 4D 0C 3C 49 07 38 47 05 36 43 06 32 3D 07 2B 33 07 20 26 0B 1B 1E 0E 22 17 14 34 27 25 3A 2A 21 3A 28 1B 46 32 1D 56 3E 2C 6A 49 3B 70 49 32 74 46 2C 78 48 2D 7D 50 35 7F 58 42 86 5F 4D 8C 63 52 93 67 55 97 6C 59 9F 71 60 A4 76 64 A8 7B 68 AA 7E 67 AF 80 67 AF 80 68 AE 81 62 AC 7F 5C A8 79 58 A9 79 59 AC 7D 5C AF 83 65 B5 8C 6E B4 8F 6F B3 8C 6C B3 8F 6E B3 92 71 AE 8E 6B A8 89 62 A7 8B 62 AB 8E 63 AC 8F 64 AB 92 68 A2 8C 5C A1 8A 55 A6 90 58 A2 8E 4D A1 8F 48 96 88 3D 69 63 0C 66 65 05 7D 75 08 86 7D 05 8C 83 04 93 86 0A 96 88 0C 95 8A 08 93 89 0B 92 87 06 91 86 12 90 86 11 8F 89 0C 8F 8C 11 93 8B 0E 96 8D 0B 94 8D 0B 92 8D 0E 99 92 15 A0 98 1F A1 99 1D 9F 98 1A 9C 96 1D 97 91 1D 98 91 22 97 8E 17 96 8E 18 99 92 21 9D 93 24 9B 96 23 +51 55 0B 58 60 08 5A 64 0A 63 6B 0F 69 71 1D 6D 76 25 72 79 2A 6F 7A 25 6C 79 1C 6B 77 21 6B 76 24 69 77 1F 6A 77 1B 6D 75 19 6B 75 1B 6B 79 1F 6C 7B 20 71 7C 1F 70 79 1B 6C 7A 1C 6E 79 1C 73 78 1B 6F 76 13 6B 73 0E 6E 74 12 7F 7F 24 86 81 2C 7C 7B 1D 70 79 22 6F 79 2C 7A 7B 2E 8E 87 37 A4 9D 4E 8F 91 3A 67 76 0A 64 76 0A 69 76 0F 66 76 0C 67 75 02 67 76 06 6E 7B 1E 75 7F 25 77 81 1D 77 81 17 75 7E 14 76 7F 13 78 7F 17 78 81 16 7A 83 11 7E 85 0C 7C 87 13 7A 86 1F 7F 88 1F 83 88 13 87 88 13 8A 8D 1A 8D 8F 1B 93 92 1B 99 96 1D 9E 99 1F A4 9C 22 A5 9C 20 AA 9F 23 AC A2 29 AB A1 2B AC 9F 2A AA A1 29 A9 9C 20 A8 99 20 A0 94 1F 9A 8E 15 9A 8C 14 94 85 12 8B 7E 0F 89 7F 16 86 82 18 87 80 12 84 7D 11 82 7D 11 86 80 10 8D 82 12 8A 86 17 85 86 16 84 87 19 85 85 1B 87 85 11 87 85 18 84 82 1A 7E 7E 10 7B 7C 0E 76 76 10 72 74 14 6C 73 11 66 74 0A 68 70 06 6B 6C 0D 66 6E 0D 63 6D 0C 63 6D 13 63 70 13 63 6E 0E 64 6D 13 61 6E 19 5F 6D 18 5B 6C 19 5C 6E 1D 5F 70 22 61 70 21 5E 6A 18 5A 65 19 5C 67 1D 5E 67 23 58 61 1D 4D 56 18 42 4C 0F 3D 49 0B 3D 44 04 38 43 02 30 42 02 2E 3D 02 28 33 08 20 29 0D 18 1C 0C 15 17 17 20 1B 23 30 21 28 33 22 25 32 23 23 38 28 21 48 33 28 52 38 2A 5E 36 22 6C 41 27 76 49 2F 7B 53 40 83 5D 48 89 64 50 8F 69 59 96 6D 59 9D 71 5D A1 72 63 A3 77 63 A4 78 5B A4 75 54 A3 74 51 A0 73 52 A0 74 55 A3 77 5B A6 79 5D A7 7A 5B A8 7D 5E AB 82 63 AE 89 65 B0 8D 6E AB 8D 69 A4 86 5D 9E 80 56 9E 81 5A 9F 87 5D A2 8A 62 A9 90 65 A4 8B 59 9E 89 52 A1 8C 58 A2 8D 55 9E 8C 4A 9C 8E 48 83 7B 20 69 64 00 76 70 02 7F 79 01 89 82 01 93 87 04 99 8B 05 98 8B 01 96 8A 02 94 8B 06 91 8A 06 92 8B 0C 93 8C 0C 94 8D 0D 96 8E 10 96 8D 0C 98 8D 08 98 8E 0A 9A 94 12 A4 98 1E A7 99 25 9F 9C 21 9C 97 20 9A 93 1C 96 92 1C 96 91 1A 98 8E 15 9A 8F 18 9A 90 1C 9D 94 21 9F 97 20 +56 59 0A 5A 60 09 5D 67 13 66 6F 1B 6C 74 25 6C 78 22 6E 7A 20 6F 77 22 6D 75 20 6A 75 28 65 74 29 65 74 21 66 71 18 69 72 16 6B 75 19 6C 77 1B 6E 78 1E 6F 77 21 6F 76 1B 70 76 18 71 78 19 6E 78 1A 6A 73 0E 6A 73 0A 6C 72 0F 76 7A 22 77 7B 27 76 79 21 72 7A 25 76 7B 27 81 83 2C 89 88 32 85 87 2D 6F 78 0E 62 73 04 65 77 0E 67 73 0C 67 73 06 66 72 04 67 76 14 71 7E 21 78 81 22 78 82 20 72 82 19 72 81 1D 75 80 1D 7A 81 1A 7D 86 19 7C 87 17 7D 89 1B 80 89 1C 7F 89 1C 81 89 1B 85 89 1B 85 8A 1A 88 8D 1F 8D 8E 1E 93 92 1A 98 95 1B 9A 98 24 9F 9A 25 A6 9C 20 AA A0 29 AD A4 30 AC A2 2E AD A1 2B B0 A3 2D AC 9E 24 AA 9B 1D A5 94 16 A1 8F 15 9C 8D 17 99 8C 16 95 87 0C 91 85 0A 8D 85 0F 8E 82 0C 8E 83 0E 8C 82 10 89 85 0B 8D 86 0F 8E 8A 1A 8D 8A 1B 91 8A 1C 91 8A 19 90 8B 22 8E 8B 28 8D 8A 29 8A 88 21 83 83 1C 7C 7F 19 76 7C 1D 74 7C 22 6F 79 1A 6F 76 14 6D 71 17 65 70 1F 5F 6F 17 5D 6D 15 61 6F 1A 64 71 18 63 71 1B 61 70 1F 5E 6F 22 5B 6D 21 5A 6D 1C 61 6F 1C 64 6F 27 5F 6D 20 58 66 16 58 64 16 60 66 21 5D 63 1F 50 59 1B 42 4A 0C 3A 47 04 3A 44 04 34 42 04 2F 41 03 2B 3D 05 26 35 09 21 2C 09 1C 1F 0A 16 19 0F 1B 18 1B 2A 1C 29 30 1C 2C 31 1F 2F 30 20 29 2E 20 1F 33 23 1F 40 26 1D 48 2C 1B 59 37 24 67 44 34 74 4F 3E 80 55 45 86 5A 49 89 5D 4A 8D 5E 49 92 60 4D 94 64 4A 96 69 4C 99 6C 4E 9B 70 52 9D 73 53 9F 74 52 9F 77 53 A0 77 56 A3 78 59 A2 7B 59 A4 7D 5B A4 80 57 A2 81 5A 9D 80 58 9D 7D 50 9D 7E 4F 99 7D 52 9A 80 55 A0 83 5D 9F 84 59 9E 88 51 9F 88 4D 9D 88 4D 99 86 46 99 87 42 92 84 3D 6C 67 0B 6A 66 03 7B 75 06 85 7E 00 8F 84 05 95 88 0E 95 8B 0C 93 8A 06 98 8C 01 97 8C 03 95 8D 0A 98 8D 07 9C 91 08 9D 92 08 9B 92 07 9C 92 05 A0 93 0C A2 96 16 A4 9C 1D A6 9C 1F A6 9A 19 9F 99 22 9B 93 23 98 90 19 97 92 1D 98 90 19 98 8E 0F 9B 90 1B 9C 92 1F A0 97 19 A1 99 1E +55 5C 08 5B 63 07 61 6A 16 6A 70 1F 6C 74 22 6C 76 23 6C 78 23 6A 75 25 6B 70 1C 68 70 19 65 71 20 62 70 15 61 70 0E 65 74 13 68 75 1B 6A 76 1C 6D 78 1C 6E 77 26 6C 76 21 6E 76 14 6F 77 10 6A 75 14 63 72 18 66 72 19 6A 70 12 66 70 15 67 72 18 6C 73 1B 6E 76 20 7D 7E 2C 80 82 33 7B 81 2B 71 7D 1B 67 76 08 67 72 01 65 73 09 63 73 04 67 72 01 67 72 09 6D 78 14 74 7F 1F 75 81 21 75 81 20 75 81 15 75 81 17 75 80 18 7A 82 1D 7F 87 25 7F 87 1E 83 88 1B 83 87 1A 84 8A 19 83 8B 18 85 89 19 86 8C 1B 8D 8E 1E 91 8F 1E 93 92 1F 94 96 1F 97 96 21 9E 98 1F A5 9B 1C A5 9D 1F AA 9E 29 AE A0 31 AF A3 33 AF A4 32 AD A0 2D AA 9B 27 A5 94 16 A2 90 12 9F 8F 12 9C 8E 19 98 8B 13 97 8B 13 96 8A 13 91 87 11 8C 86 12 8E 86 10 91 87 0D 92 89 17 90 8C 1C 93 8E 20 96 8E 20 98 90 25 94 91 2C 95 93 30 97 91 29 95 8D 27 8D 87 2B 81 82 21 75 7E 1C 74 7C 2B 78 79 28 76 7A 22 6D 77 22 63 75 20 60 73 24 5E 6F 1C 61 6D 1A 66 6F 23 66 71 23 62 70 1F 62 6F 22 63 6F 24 60 6E 20 62 6F 21 62 71 29 5D 6E 22 57 66 1C 52 60 15 5C 63 1A 60 64 1D 58 5C 17 45 4D 0C 37 46 07 37 45 0F 39 42 09 39 3F 01 34 3C 04 2B 36 08 1F 2D 08 19 22 0C 17 1B 13 1A 16 18 28 1A 29 32 1B 2F 30 1D 2B 2D 1F 25 2E 26 27 2E 23 24 2F 23 23 34 25 1C 40 29 1B 4C 2F 22 57 36 26 62 3A 30 6F 44 37 79 48 39 80 4E 3D 87 58 43 8B 60 46 91 67 49 95 6C 4B 96 6F 51 96 73 51 99 73 4D 9B 74 4E 9E 76 54 9F 77 54 A2 79 52 9E 7B 54 9D 7A 51 99 7B 50 99 7F 56 9A 7F 55 98 80 53 92 7D 51 92 7E 50 96 7B 4B 92 7B 49 94 7F 44 97 81 42 96 81 3F 94 81 3A 91 82 38 79 6F 1C 60 5E 00 71 6F 04 81 7B 08 88 80 05 8E 84 00 92 87 04 92 8B 04 93 8C 05 98 8E 04 94 8D 09 97 8F 0C 98 91 0E 9C 92 10 9F 93 0A A1 95 0D A4 97 0E A5 99 13 A6 9B 21 A7 9D 27 A5 9A 24 A1 98 1F 9D 96 20 99 94 22 9B 93 1E 9B 93 20 9B 91 1A 9B 8F 11 9A 91 14 9C 94 19 9F 97 27 9C 98 2B +56 5F 09 5D 66 15 62 6E 22 6C 74 2A 6C 72 24 6D 75 20 6C 75 22 68 72 1F 6A 6F 15 68 6D 12 67 6D 15 62 6E 12 60 6F 13 62 71 19 65 73 1D 66 75 17 6A 76 20 6D 76 23 6D 75 1D 6C 77 17 6A 76 14 6A 73 17 66 72 19 62 70 11 65 6E 0B 62 6D 12 63 6F 18 67 6F 13 6B 74 14 72 7A 18 72 7B 1A 73 7B 20 6D 78 1A 63 73 0A 64 71 04 64 72 06 65 72 00 67 71 01 68 72 0E 71 79 19 75 7F 21 70 80 21 71 7F 18 75 7F 12 76 80 19 75 81 1B 7E 84 1D 84 87 28 8A 8B 28 97 94 31 9B 97 3B 96 95 37 91 92 31 8D 8D 28 8B 8D 23 90 91 26 99 94 27 9F 96 24 A4 9A 2B AB 9F 35 AD 9F 31 AF 9F 2B AB 9F 29 B1 A3 34 B8 A8 3D B6 A8 3C B0 A5 33 AE 9F 27 AC 9A 22 A5 94 17 A0 90 0D 9C 91 10 9A 8F 1B 9A 8D 1D 9B 8F 1A 9C 90 1D 94 8C 19 8D 8A 14 8F 89 13 94 88 15 95 8B 12 97 8F 1B 95 90 21 98 93 25 9F 98 31 A7 9F 40 AF A4 4B AB A0 46 A7 9E 42 99 93 37 86 85 2B 7B 7C 25 73 78 22 73 7A 28 73 7C 2F 6B 78 2C 63 72 23 61 6F 28 60 6F 2A 60 6F 23 62 70 25 64 72 26 64 6F 27 67 6B 27 5E 70 26 5E 6D 21 61 6D 21 63 6D 25 62 6B 23 5D 66 21 51 60 1D 51 5F 1A 59 62 1E 5A 5F 1F 4D 50 11 38 43 09 37 44 10 39 42 09 39 3F 06 31 3C 03 2C 37 06 25 2D 07 1F 23 07 19 1B 10 1A 17 14 26 1A 20 33 1D 2B 2F 1C 2B 2C 1C 27 2F 21 26 32 26 24 2D 1E 26 2E 1C 26 31 1F 1F 37 25 1F 43 2C 1C 53 33 27 61 3A 33 6B 3F 32 74 47 3A 79 4F 3C 7E 59 3D 83 61 42 8B 69 49 8F 70 49 91 73 50 94 76 4F 98 77 4D 9A 78 52 98 79 4F 96 78 49 92 79 48 93 77 49 94 79 4F 96 7B 4F 94 7A 4A 8E 78 48 8A 77 4D 8A 75 49 8C 71 3C 8C 72 3D 90 76 44 94 7D 43 92 7F 36 8F 7F 39 7D 74 24 5A 59 01 66 64 00 77 75 00 84 7F 04 8C 82 07 8F 85 05 90 88 0D 92 8B 0F 96 8C 08 96 8F 0A 94 91 13 9A 8F 16 9B 90 13 9E 91 10 A4 96 11 A5 98 1D A5 99 22 A4 9A 1E A4 9B 26 A3 98 27 A1 96 26 A1 96 22 A0 94 1F A1 95 21 A0 95 26 9E 95 22 9F 95 1E 9F 93 1B A0 92 17 9D 93 18 9E 96 23 9A 94 1F +5B 60 0B 64 69 1C 68 72 29 6B 76 2A 67 74 1C 69 75 1C 6A 74 1F 65 71 19 64 70 18 64 6D 19 62 6C 18 62 6B 16 61 6D 11 60 6F 17 62 70 1A 64 72 16 66 74 1A 68 74 1F 6C 74 1C 6C 75 19 67 73 18 65 72 12 67 6F 10 6A 6D 10 68 6E 10 62 6E 0F 62 6F 13 68 70 0E 67 73 12 6B 77 17 6E 78 15 6E 7A 20 69 77 1C 64 70 0B 62 70 0A 61 71 05 62 6F 01 65 6F 03 68 74 0C 71 7A 19 76 7F 20 74 7F 1E 72 7E 16 70 80 15 6F 80 21 73 84 28 80 87 23 93 8E 33 B0 9F 52 CB B4 6F CF B9 74 C0 B1 63 B7 A6 55 B6 A4 55 B5 A6 4E B4 A7 4D BF AD 5E C6 AF 59 CF B9 5F D2 BE 6A D0 B8 5C D2 BB 5A C8 B6 52 BD AE 45 C0 AE 40 BB AA 43 AF A4 33 A9 9C 21 A7 99 1E A2 94 18 A1 91 10 9F 90 0B 9D 91 18 99 8E 1D 98 90 21 9C 93 2B 97 90 22 92 8D 1A 92 8C 1B 94 8B 1F 96 8F 1F 97 91 24 9A 92 27 A4 9A 33 AC 9F 3C B7 A8 49 BA AA 54 BA A9 58 B4 A7 53 A5 9C 4D 93 8D 40 86 84 33 79 7C 21 77 7C 29 76 7C 38 6D 77 2F 66 71 22 64 6D 24 60 6D 26 60 6C 21 60 6D 20 5F 70 24 5E 6E 2A 5E 6C 27 5B 6A 24 5B 6A 1F 5C 69 1F 5E 6A 24 5D 68 25 5A 65 17 55 60 13 4F 5D 11 54 5F 16 56 5E 16 4A 55 11 35 45 0E 32 44 11 33 42 0C 34 3F 08 2D 3A 06 2A 37 09 25 30 07 1B 24 0B 18 1C 0F 18 17 12 1C 18 1E 28 1C 28 2C 1C 2B 2C 1B 2A 2A 1C 29 2B 21 27 32 25 26 2A 19 28 29 1A 26 28 1C 1F 2B 1F 1B 34 25 1C 47 2B 23 59 35 2C 67 41 35 6E 49 39 72 52 3B 73 5A 37 7D 64 41 86 6E 46 8C 72 49 8E 73 43 90 73 3D 8F 72 3F 8E 74 45 8F 76 49 90 76 47 90 75 46 8C 75 45 8B 75 46 86 72 42 83 70 40 83 70 41 87 71 3E 8A 71 35 8B 72 35 8C 75 40 8B 79 39 8B 7D 36 7E 74 2D 58 54 06 5D 5A 00 72 6B 00 7C 77 02 86 7F 07 8C 85 08 8E 87 07 90 89 0F 92 8C 0F 96 8F 07 98 8F 02 99 8E 08 9B 90 0F A0 92 12 A4 94 19 A5 99 20 A2 9C 24 A4 9B 1F A0 9A 16 A0 9A 20 A1 97 2A A0 97 26 A0 95 27 A0 95 28 A1 98 28 A2 98 2C A1 97 29 A0 95 25 9F 96 23 9E 95 21 9F 92 18 A0 94 1D 98 92 17 +5C 62 06 63 6D 20 68 76 31 6A 75 28 6B 74 1D 6B 72 21 66 71 1F 65 6F 17 62 6F 17 60 6F 1C 5F 6B 18 5F 6A 18 62 6C 15 65 6F 1C 62 6F 1C 62 6D 19 63 70 1A 64 74 1E 68 73 19 68 73 19 65 6F 19 62 6F 11 63 70 12 66 6F 19 65 6F 19 61 6F 12 65 6F 0C 6C 71 12 69 72 1C 68 74 1E 6A 77 1A 6A 77 19 64 71 0F 62 6F 06 63 6E 02 62 6E 04 62 6D 04 66 70 05 67 76 11 6C 7B 22 72 7E 22 77 7F 15 74 7E 11 73 7E 15 77 80 18 81 87 2A 91 91 39 AE A0 4C D3 B8 6D E8 CA 86 ED D2 91 E2 CB 82 E1 C5 7B EA CE 89 E6 CB 7C D5 BB 67 E5 CA 7F E6 CB 78 E0 C6 71 E1 CB 79 DE C5 6E E1 C8 73 CB B9 58 B7 AB 3D B6 A8 3A AF A4 38 A8 9D 2E A5 99 1B A2 96 16 9F 93 12 A0 93 15 A0 91 1A 9F 92 19 9B 90 1C 99 92 26 9D 95 2B 9A 93 21 95 91 1C 94 8E 1F 92 8C 23 96 90 26 9C 92 2C A6 9A 3B B3 A6 4A AF A0 43 A9 9A 39 B2 A3 4B BF AE 5F B7 A8 55 A9 9D 49 9D 94 41 8A 8B 3D 7D 85 36 7B 7E 38 7B 7C 3A 72 79 2E 66 70 1D 62 6C 21 5F 6A 24 5F 69 26 62 6A 25 62 6C 1F 60 6D 23 5D 6B 23 5B 69 20 58 68 1C 57 68 22 5B 68 23 57 68 1B 51 67 1D 4E 62 1B 4A 5D 0C 4F 5D 0C 53 5B 14 4D 55 17 3A 46 0D 32 41 0F 32 40 0F 30 3E 09 2D 3A 05 2A 35 04 26 32 05 1F 27 06 18 1E 0D 16 18 12 18 15 1B 26 18 26 2C 19 2C 2B 18 2C 27 19 2C 28 1A 27 31 24 2B 35 2B 30 27 1C 25 27 17 24 2F 16 23 36 1A 1F 48 21 1E 58 31 23 55 35 1E 57 3C 20 5A 3F 27 5A 44 2B 5E 4C 2D 63 52 2E 6A 58 31 72 5E 2E 75 64 31 79 66 33 7E 67 37 80 6A 39 80 6D 3D 7F 6C 3B 7C 69 36 7A 69 39 7B 6B 38 7B 6C 36 7E 71 36 80 71 37 81 6E 38 82 6C 31 84 6F 2A 84 70 24 74 69 1E 51 4F 06 4E 50 01 65 63 02 7A 6E 01 84 76 04 8B 7D 05 91 83 06 94 86 0A 96 8A 07 97 8B 08 99 8E 0B 9A 8E 09 9A 8F 0D A0 97 15 9E 97 0F A0 97 15 A4 9A 1E A3 9A 1D A1 98 21 9E 96 16 9F 95 20 9F 97 2B A0 97 27 A1 98 24 A0 97 23 A1 96 28 A3 99 30 A2 9A 28 9F 98 23 9F 98 2A 9F 98 29 9E 94 21 9C 92 1E 9B 92 19 +5E 64 05 65 6D 1F 68 76 2C 6A 75 27 6B 73 1F 6B 71 1B 68 71 1D 68 6F 19 65 6D 1B 61 6E 1A 63 6C 13 65 6B 0A 7A 7D 32 72 7B 30 63 6E 16 63 6D 1A 66 6F 1C 68 71 1A 67 71 1C 63 71 1F 62 6F 1D 67 6E 1A 64 6F 14 67 6E 10 67 6D 15 63 6D 12 65 6E 10 6C 72 1A 6C 71 1C 6F 75 1E 6F 77 1D 68 74 0F 61 70 0F 5E 6F 12 5F 6F 0C 61 6C 05 66 6F 05 66 71 07 68 75 10 6C 7B 22 6F 7E 1F 70 7D 16 6E 7E 16 70 80 1D 7B 82 24 8C 8A 2F A3 98 44 C3 AF 63 DF C7 80 E4 C8 85 E2 C7 82 DA C3 74 D8 C2 6F D2 BA 62 D6 BF 6F D3 BB 69 D8 C0 72 CF BB 61 D3 BF 65 C7 B6 57 C4 B3 51 C1 AF 4C B0 A1 38 A7 9D 2E A5 9D 2A A2 9D 28 A2 99 20 A2 96 19 9F 94 1B 98 92 1E 9C 95 21 9E 94 23 9E 93 1E 9B 91 19 9C 94 25 9C 97 25 98 94 23 95 91 22 93 8E 23 90 8C 28 95 8F 2C A4 99 3C B6 A7 57 AF A1 49 A1 93 33 B0 9F 47 BF AC 5D BB A8 60 B7 A5 56 B5 A4 4F AC 9C 4A 98 8D 41 87 85 3E 86 7F 3E 85 80 40 76 78 33 65 6F 24 5F 6B 29 5D 69 2A 5C 67 22 5C 67 26 5C 69 26 59 69 25 57 6B 29 5C 69 28 57 66 21 56 66 23 5B 68 20 57 66 1D 51 64 21 51 62 1F 4C 5D 14 48 57 0D 4D 57 11 4D 53 10 3D 46 0A 30 3F 0D 32 3F 0B 31 3C 04 2D 38 02 2B 34 01 29 30 03 23 27 04 19 20 0B 15 1A 14 19 14 18 27 18 23 2B 18 2A 26 18 29 28 16 26 29 16 23 29 18 21 38 28 29 3C 1D 21 52 16 22 6F 18 25 80 1D 22 88 23 22 83 26 23 79 2D 22 78 49 2C 6A 4F 2C 5A 49 23 51 46 24 4C 43 21 4F 44 1C 54 48 1F 5C 4F 28 64 56 2E 69 5A 30 69 5B 33 66 58 2D 6A 5C 2B 74 66 27 7D 6F 28 7E 73 27 7F 74 2B 79 71 22 74 6B 26 74 68 32 77 69 2E 7D 71 2B 82 74 2A 6F 5F 17 52 4D 04 58 58 02 6D 67 01 7D 72 01 88 7A 06 8A 7F 0A 90 83 04 96 86 07 95 89 10 98 8C 08 9D 90 0C 9E 94 18 9D 94 23 97 86 1B 9F 8D 1C A1 98 1E A3 9C 2C A0 98 28 9D 94 1F 9D 95 1B A2 97 2B A3 96 2B A6 98 28 A3 99 26 A1 97 23 9F 95 22 9F 98 27 A3 9B 28 A4 9A 21 A5 98 21 A0 97 27 9C 95 29 98 92 1B 9A 8F 1E +5A 63 0E 64 6F 21 69 75 2D 6B 72 25 6C 71 1E 68 71 1C 67 71 1A 64 6F 16 62 6C 1B 63 6C 1B 61 6C 18 64 6C 1A 71 77 2C 68 72 20 63 6F 1A 60 6F 1A 60 70 1C 66 70 23 66 70 26 64 70 20 62 6E 18 66 6E 15 65 6D 10 63 6D 09 65 6D 0D 6A 6F 0E 6D 71 12 6A 73 1C 68 73 1F 6E 75 20 6E 76 22 60 70 0F 5C 6E 0C 5D 6E 0D 5E 6B 0B 62 6D 0F 64 6F 11 63 73 13 69 77 16 6E 7B 1C 6F 7D 16 70 7E 19 70 7F 20 75 80 19 7E 84 1C 8C 8C 2D 9C 95 40 B7 A8 59 C1 B2 66 C6 B6 69 BA A9 55 B7 A8 54 B3 A5 51 AA 9C 3D C0 AF 5C D0 BA 6D C8 B3 61 BF B0 58 B3 AA 4C B1 A5 43 B1 A3 3A AC 9F 2E A0 99 25 9B 97 22 9E 98 21 A1 97 1C 9F 95 1C A0 94 21 9B 92 14 97 91 1B 9A 94 1E 9A 91 1A 9D 93 28 9B 92 21 9D 94 24 99 95 27 95 93 29 93 91 2A 93 90 24 97 92 29 9D 95 37 A6 9D 3F AF A6 4E A5 98 41 A8 99 3E B4 A3 50 BC A9 60 BA A6 62 BA A7 5D BA A7 5D B0 A2 5A 9A 91 46 81 82 38 81 7F 39 7F 7F 3B 71 77 30 64 6F 23 5E 6B 23 59 67 26 58 65 22 59 65 24 5B 65 1C 5A 67 1D 5A 68 20 5C 66 21 57 65 21 52 64 20 54 65 1F 54 65 20 52 63 21 51 61 1D 4D 5C 12 46 54 09 47 54 0E 49 51 14 3F 48 0F 2F 3C 0A 36 3E 06 35 39 00 2E 37 03 2B 34 02 27 2F 04 22 28 07 1B 22 0B 18 1B 11 16 17 13 1D 17 20 25 18 27 26 1A 26 2A 18 21 29 18 23 30 14 23 4B 16 20 73 18 1E 87 15 1A 95 16 1F 9C 19 22 9D 1A 20 9E 1E 1F 9E 2A 23 9D 46 36 90 59 37 87 6A 3B 7C 6C 34 72 69 2D 6D 63 2A 65 58 24 5B 50 1E 50 47 17 4C 45 16 5D 55 22 72 68 2A 7C 74 2B 7F 7B 21 7D 7A 22 76 77 22 70 71 1D 69 64 1B 5F 5A 1D 54 57 21 57 5A 1C 68 63 22 75 70 29 76 6C 2E 6C 66 25 68 63 0D 77 6C 00 84 78 00 8B 7D 02 8D 82 01 91 85 01 96 86 03 94 89 08 98 8F 17 A5 8D 1E A8 84 1E 97 6D 1B 85 51 10 8D 5C 11 91 6B 11 93 7C 21 98 91 2C 9E 99 29 A0 99 23 A2 9A 23 A5 9A 29 A5 9B 28 A5 99 2C A5 99 2B A1 98 23 9F 98 23 A4 98 26 A5 99 25 A2 99 2A 9F 97 2B 9B 94 25 98 92 25 96 90 27 +5C 63 0C 5E 6C 20 64 74 2D 68 72 22 66 71 1A 66 6F 1A 67 6D 1C 64 6C 1A 5E 6D 1C 5F 6B 1D 61 6B 1D 60 6C 25 60 6E 1E 62 6F 1B 63 6D 18 61 6E 15 62 70 19 64 71 21 65 70 23 63 6F 18 64 6E 18 64 6C 16 62 6D 11 64 6E 08 67 6D 10 6D 71 1C 6F 74 1A 6B 73 18 68 72 1B 6B 75 1F 68 75 21 60 6D 12 61 6C 0C 60 6C 0A 5D 6B 08 61 6F 10 62 72 12 67 76 1C 6A 78 1F 6D 7C 19 70 7D 15 73 80 19 75 7F 18 78 7F 19 80 83 1C 8A 87 27 92 8F 32 9C 98 43 9C 97 43 9B 96 3B 9C 94 32 9C 94 38 A0 97 3F A1 97 37 A8 9F 44 AA A0 46 A9 A0 40 A4 9B 35 A2 9B 31 A4 9C 32 A2 9B 2F 9B 97 27 96 95 27 99 95 22 9B 96 20 99 93 1C 99 92 18 9A 92 19 99 91 12 97 8F 13 97 91 1C 96 92 20 98 92 26 9C 8F 28 9B 90 27 98 94 28 98 93 2F 99 92 2D 95 8F 27 97 8F 2F 9E 95 39 9C 96 37 9C 96 3C A0 98 47 A8 9B 4F AC 9B 50 B8 A6 60 B9 A8 65 B3 A3 5F A7 9A 53 9A 94 50 8C 8A 40 7C 7E 38 75 7A 35 74 78 39 6D 73 33 63 6E 2B 5D 6A 26 58 68 21 59 63 1D 5A 64 1F 56 64 20 54 66 24 55 65 23 57 65 22 57 64 20 58 62 1F 5A 62 1D 53 63 1C 50 64 1E 50 61 19 4E 5C 13 45 53 0A 41 52 0B 46 52 12 41 4A 09 34 3B 02 34 39 09 31 38 09 30 36 05 2D 34 01 25 2E 07 1F 29 09 18 22 09 18 1B 08 14 17 0E 17 16 1B 25 15 24 28 16 26 29 17 20 35 15 22 5B 15 23 7A 16 23 8B 14 20 91 16 20 99 19 22 A0 1F 29 A2 22 2D A3 22 2B A3 22 2C 9F 25 29 96 32 26 91 5B 34 89 75 2F 83 79 27 80 77 2E 82 74 2F 7D 72 26 6D 64 17 65 5B 15 7F 78 2C 88 83 26 83 7F 1F 7B 79 1A 7A 7B 24 74 73 1F 6D 6B 1F 65 62 23 5B 5C 23 53 59 1F 55 56 16 51 50 14 5A 5A 21 63 5C 2B 7E 6D 42 88 74 3A 7A 6D 0F 82 77 06 8A 7E 0E 8E 82 0E 8F 85 10 93 87 0B A0 83 10 B0 80 2C B7 6E 1F BF 66 16 BD 68 1B B7 5E 15 B4 5E 11 AB 5A 0C 9F 54 15 92 5D 14 93 79 26 9B 8E 2C 9E 98 2F A3 9B 2D A6 9B 2A A6 99 2A A5 9B 2D A6 99 24 A2 97 22 A3 98 28 A3 97 27 A1 98 2B A0 97 24 9C 96 1E 99 93 24 94 90 24 +5F 67 10 62 6C 20 66 70 26 66 6F 21 62 70 1B 62 6F 18 64 6D 17 62 6C 13 5C 6C 13 5E 69 18 60 68 19 62 6B 1C 63 6D 15 66 6E 14 63 6D 1C 63 6D 18 65 6F 1F 64 71 2A 61 6F 24 63 6F 1C 64 6E 1D 63 6E 1C 61 6E 13 65 6E 0B 6A 6E 12 6B 6E 15 6D 73 18 6E 74 17 6D 73 1A 6B 75 21 61 70 14 60 6A 0A 60 6A 0A 5C 6B 0B 5D 6C 0A 65 72 0C 67 74 0E 6D 78 1D 6B 7A 25 6F 7D 24 74 7F 22 75 7F 1C 75 7C 14 74 7F 19 79 81 1B 84 82 23 8E 88 2F 94 91 3B 91 8F 36 8D 8C 2D 90 8B 2D 93 8C 2F 97 90 36 95 91 2E 98 93 32 98 92 34 96 8F 2E 98 90 2D 9E 95 28 9D 95 2C 9B 96 28 97 92 1A 94 91 1F 96 90 22 96 8E 1E 94 8F 19 95 91 1B 95 8E 12 94 8B 0C 96 8F 16 98 91 19 96 8F 1B 96 8F 1F 95 8C 20 95 8E 26 98 91 2D 9C 96 3A 99 94 36 8E 8B 2B 85 86 24 86 8A 2C 88 8B 35 8C 8C 35 96 8E 3C A0 94 47 A2 98 4F AF A4 5F A9 9D 52 9D 93 45 8F 8B 3B 83 84 3A 80 7F 3F 75 77 34 6B 72 2F 6E 75 3A 68 71 32 60 6C 2A 5C 68 28 55 64 22 55 62 1C 57 63 1D 56 62 21 58 61 21 56 62 20 58 63 20 59 5F 1D 60 5E 20 58 5D 1E 51 61 1D 53 63 1B 52 62 18 50 5C 12 46 51 0A 3F 4F 09 42 51 11 40 47 0D 36 38 07 30 34 0B 2F 36 0D 2A 35 09 29 32 04 28 2B 06 21 28 06 17 22 0A 14 1A 09 12 16 0D 15 14 18 1E 16 24 24 16 23 37 14 1D 5D 14 1D 79 18 20 87 18 20 8F 15 24 97 16 26 99 1D 2A 9F 28 30 A4 2B 30 A9 2C 36 AB 2A 35 A8 28 31 9F 2F 2F 98 4D 30 94 74 40 8B 7C 35 8A 7C 2D 86 7A 24 83 78 20 80 77 20 89 80 2C 90 88 31 89 83 22 80 7B 23 6E 6B 1C 6B 67 1B 62 5E 14 5B 55 14 57 50 16 53 4A 13 54 4C 15 5A 51 16 51 4E 15 51 4C 1E 54 4B 1E 76 64 3D 8E 78 4C 7E 6E 30 7C 71 21 87 7D 19 90 82 15 94 82 12 A2 7B 13 B5 6F 20 BB 61 1C C6 64 16 C7 68 0D C3 62 0B C4 61 0E C9 63 16 C7 61 15 C5 5C 13 BA 57 18 9F 51 13 7B 50 09 94 85 2C A6 9C 33 A8 9A 2C A9 99 2A A6 9B 2C A4 99 24 A1 98 21 9F 98 28 A0 98 27 A0 98 28 9E 95 24 9D 94 22 9A 91 24 95 8D 1E +60 69 0E 68 6C 19 69 6A 1E 66 6C 1D 63 6E 1B 64 6F 1A 63 6C 15 61 6B 10 61 69 11 60 67 15 60 68 15 60 6B 14 60 6B 15 61 6C 18 62 6E 1B 63 70 18 63 6E 1A 65 6E 22 64 6E 21 65 6E 1F 67 6E 1B 64 6B 15 65 6B 10 68 6D 0A 67 6E 0A 66 70 0A 6A 72 14 6B 74 20 6E 73 27 65 71 20 5C 6C 12 5D 6A 0E 5D 69 0B 5B 69 0A 62 6B 0B 67 71 0C 6D 77 1A 6F 79 20 6F 7B 27 73 7D 25 76 7F 24 72 7E 23 74 7C 20 79 7D 1A 7F 7F 14 85 81 20 8D 88 30 94 8D 2F 91 8B 2B 8D 8A 2C 8C 89 2B 93 8D 32 93 8F 3A 98 92 37 9B 95 35 95 8F 2D 91 8C 21 95 90 26 97 91 26 97 92 29 97 92 28 92 8D 1C 91 8E 1D 8E 8D 1C 8F 8B 17 94 8B 15 97 8F 20 90 8B 17 8F 8A 12 97 8E 1D 94 8C 17 92 8B 11 93 8D 1D 90 8C 22 8C 8A 21 93 8E 2E 99 93 3B 92 8E 36 81 84 26 7A 7D 21 7B 7D 29 7D 7E 32 7F 7F 34 88 85 3B 90 8B 41 91 8B 40 9D 95 4D 9D 91 46 91 87 38 82 82 30 79 7E 32 76 79 37 6E 72 34 6B 70 30 6D 71 31 6A 70 31 5F 67 2A 59 65 27 52 63 23 4F 62 20 56 60 21 57 60 22 55 5F 1E 54 5E 1B 59 5F 1D 5D 5F 22 59 5B 20 4F 5B 1E 50 62 21 53 5E 1B 52 5D 1B 4A 5C 1B 43 52 0C 41 4E 07 43 4F 10 41 46 0E 36 38 06 2D 34 05 2D 36 0B 29 33 08 28 31 07 26 2B 06 21 26 05 18 20 0A 13 1A 0C 13 16 12 17 14 1B 1E 15 22 2B 13 1C 55 14 1A 75 15 1A 84 15 20 8D 12 23 90 10 26 97 14 2A A1 25 38 A2 2D 3A A7 2A 36 AB 2E 38 AC 32 37 A8 30 37 A3 37 35 9A 47 2C 9B 76 42 8F 7C 32 8B 7C 2C 8B 81 2C 8A 80 2D 85 7E 2B 7F 7C 25 81 79 22 7D 75 21 74 71 22 6D 67 1D 65 5C 16 5F 56 11 55 4B 0C 5A 4E 17 5E 50 1A 57 4E 14 58 4D 11 55 50 13 53 4E 18 4C 47 17 4D 43 18 62 55 29 74 68 32 87 76 38 82 77 23 92 7D 1E A7 73 1D BA 6C 28 C0 67 29 C1 5F 08 CD 69 0C CE 69 0D CC 6A 16 D5 72 28 DD 74 2F DB 72 2B D7 70 1F CF 61 0C C6 5B 0A A5 4D 0A 89 5F 10 9C 8F 29 A9 9E 2B A9 9E 2F A8 9A 2D A4 9A 2A 9F 98 26 A0 97 1F A0 97 20 9D 96 2B 9B 92 2C 9B 91 22 9A 90 25 95 8C 23 +60 67 15 64 6A 18 65 6A 19 66 6C 1A 65 6C 18 61 6D 13 5D 6C 11 5D 6A 14 60 69 14 5F 67 0D 5E 66 0A 5C 67 0D 5B 67 0E 5E 68 0E 62 69 15 60 6C 1C 5D 6B 1C 60 6B 19 62 6D 18 62 6F 17 62 6D 13 64 6A 12 65 6B 11 66 6E 14 66 6D 16 64 6E 0F 66 70 14 6E 72 23 6A 74 23 64 6F 14 63 6A 0B 5F 6B 0F 5A 67 0B 5D 68 05 61 6D 0F 67 71 17 6D 78 1A 70 7A 1F 73 7B 20 76 7F 26 74 80 27 71 7E 23 77 7C 1D 78 7F 1C 78 7E 21 80 82 25 8E 89 30 93 8B 2F 91 8A 2D 8B 89 2C 8C 88 27 95 8E 32 94 91 3A 99 93 36 9A 93 2E 91 8C 23 8B 8A 19 8E 8B 20 93 8D 20 92 8D 26 93 8E 2A 90 8A 22 8F 89 1D 8D 87 17 8E 87 15 94 8C 1C 92 8C 1D 8C 87 15 8F 8B 1A 8F 8B 1D 86 85 15 86 85 12 8A 88 1F 87 88 25 84 85 22 8A 87 29 92 8E 37 92 8F 3D 7F 82 30 6E 75 25 6B 73 25 6F 75 27 71 78 2D 76 7D 39 78 7B 35 7E 7D 2C 8B 86 3B 8B 87 3E 80 7E 36 77 78 2E 6F 74 2D 6C 75 35 6A 74 39 67 6F 34 69 6E 31 65 6B 32 5C 66 2F 56 63 27 54 62 22 4F 60 1D 52 5E 1E 52 61 23 4F 5F 1E 4D 5F 1F 57 5F 24 68 61 2D 58 59 1B 4F 58 1B 4F 5E 1D 4C 5B 15 4D 5D 1C 4A 5D 1B 47 54 0A 42 4E 03 44 4D 06 41 46 09 37 39 07 2E 32 06 2D 32 09 2A 2F 06 25 2D 07 1F 2A 06 1B 25 06 16 1F 0E 12 1A 11 10 16 14 13 14 18 22 14 1D 48 12 1C 6F 15 18 7E 16 1B 89 17 1D 8E 12 1F 92 12 28 99 1B 30 9F 2A 39 9F 2F 3A A4 29 39 AB 2E 3B AC 32 3C AB 2D 39 A5 2A 2D 98 37 26 92 67 30 93 83 39 8B 81 31 81 77 26 80 72 1F 83 77 26 79 74 26 75 6C 23 81 73 2B 7E 75 2A 78 6E 23 64 5C 14 5D 56 0F 5C 53 12 4C 42 08 40 38 08 3A 36 0B 42 3A 09 4D 47 09 56 50 0E 58 4D 19 4D 3F 15 53 4A 1D 65 60 2C 7B 6B 33 8B 75 35 A3 74 35 B0 64 1F C1 72 3C BA 63 1C C5 65 0B CB 6A 0D C9 6A 11 D1 74 29 D9 74 21 E1 76 29 E1 7D 37 E4 81 3F D7 66 12 D0 59 01 C3 57 04 A3 56 06 72 53 10 68 5F 18 9E 97 3B A9 9E 3A A2 99 2D A0 97 29 9D 94 23 9D 94 24 9D 94 29 9A 91 1D 96 8D 13 94 8B 1B 90 8A 20 +62 68 15 61 6A 1C 64 68 19 67 6C 16 67 6C 1B 64 6A 1B 60 6A 11 5F 68 0C 61 68 13 5D 65 11 59 63 0E 5A 62 0F 5D 64 0C 5C 65 06 5A 67 0E 5D 6A 1A 5F 6B 20 5F 6A 1C 5F 6D 1B 5F 6D 1D 61 6D 1B 60 6A 16 62 6A 11 63 6B 14 65 6D 15 66 6D 13 67 71 17 6A 74 23 6A 74 27 63 6E 15 62 6C 12 5F 6A 0D 5A 65 07 5D 67 09 62 6F 11 66 75 16 6B 79 18 6F 7A 1D 72 7B 1E 74 7F 23 79 7F 1F 76 7E 17 74 7C 1B 76 7F 23 7B 7D 20 81 80 22 8A 86 2E 90 89 2F 92 8A 2F 8F 89 2E 8F 89 27 98 8E 2C 9B 8F 35 98 8F 32 91 8C 28 88 89 1B 87 88 23 8A 86 2B 8C 86 1F 8A 87 1E 8D 8B 21 8C 88 1D 8A 86 19 8C 82 0F 90 84 19 92 8B 26 8C 87 1D 8C 86 14 8C 89 1E 83 87 1F 7F 81 1A 7F 7F 1B 81 83 25 7D 84 2B 79 80 29 7C 83 2A 84 85 2E 81 86 3A 78 7F 33 65 70 25 61 6D 24 65 6E 26 68 71 29 6B 75 30 70 76 31 75 79 2F 78 7B 2F 75 7A 2F 6E 75 2C 6E 71 2B 6A 71 2D 6B 70 36 6B 71 33 66 6F 2E 60 6C 2B 60 6A 2F 5A 65 2C 50 62 24 4F 60 1D 4E 5D 1C 50 5B 19 51 5E 16 51 5F 15 4F 5A 1C 5F 60 29 60 5F 29 50 56 19 52 58 17 54 5B 1E 4E 5A 19 4A 5C 1C 4B 5C 22 42 52 11 3E 4C 07 41 4D 0B 3E 46 0C 37 39 08 2B 30 0B 29 2E 0D 24 2D 07 1C 2C 07 1B 25 0B 19 22 0B 16 1E 0C 16 19 0D 15 15 0E 18 12 10 38 15 12 63 18 18 75 16 1A 81 14 1A 8A 12 19 8D 0E 19 93 16 26 9A 22 2F 9C 27 36 A0 2D 39 A4 27 34 AB 2C 36 B1 36 3C AD 30 35 A8 30 37 9D 32 30 93 5A 39 95 7E 3F 85 74 34 70 64 23 6F 67 1B 78 70 24 78 6F 23 7F 70 27 85 79 2B 86 7C 31 72 69 1D 60 57 10 5C 54 0F 50 48 10 38 2F 0A 2A 25 0A 2C 2C 0E 37 37 04 4B 46 03 59 53 03 64 5B 0C 5E 4F 1A 50 45 14 5B 55 20 69 5C 25 92 6D 36 B9 76 45 B2 67 29 B3 6C 2A B5 67 12 C0 6D 18 C3 6C 16 CA 6F 1E D5 76 2A E2 7C 36 E4 77 2D E2 77 35 E2 75 31 DD 5F 0D D6 56 00 C9 52 01 B1 52 07 8E 54 1B 4D 31 09 57 48 0F 94 89 30 A5 9A 32 A0 96 2F 9C 93 2D 96 8E 26 95 8E 21 94 8D 1C 8E 8A 16 8C 88 16 8A 85 16 +63 68 10 60 69 19 63 69 1B 66 6B 15 66 6C 19 62 6D 19 61 6B 1A 5E 68 1A 5D 66 12 5D 62 14 5A 62 14 59 61 0D 59 63 0D 55 64 0F 55 63 12 59 67 14 5D 6A 18 5F 6A 1D 60 6B 22 5F 6D 22 5F 6D 1F 5F 6B 19 5F 69 11 64 6A 11 65 6C 11 67 70 16 68 73 1C 69 76 22 67 74 23 5F 6E 0E 5D 6A 0E 59 69 10 56 65 04 5D 67 11 66 71 19 6D 79 1E 6E 78 1C 71 79 1E 71 7D 24 72 7F 23 77 7C 1D 77 7D 18 70 7C 1A 7A 7F 24 86 7F 1F 88 80 1E 8C 86 2B 8D 88 2A 8D 89 2A 8A 89 29 8D 89 27 92 8C 2B 93 8B 30 8F 88 28 89 86 1C 87 83 16 86 82 1D 85 84 1F 85 83 1D 86 84 1D 87 86 1C 86 85 19 83 81 14 83 81 13 8C 88 1D 8F 8A 1B 89 86 17 8A 85 1F 87 80 2B 80 7D 28 7E 77 1E 7D 77 1F 7E 78 26 7C 74 29 75 71 25 72 78 2B 77 7F 2E 78 80 31 70 79 2D 61 6F 26 5C 6A 26 5E 6A 26 66 6C 27 6A 6E 2A 6D 70 2E 6C 71 33 6F 75 36 6B 73 2F 68 70 2B 68 6D 2C 66 6C 2D 68 6B 32 67 6E 2F 64 6D 2E 60 68 2E 5E 68 2F 57 64 2B 50 60 27 4F 5D 24 4D 59 1D 4E 5A 18 52 5C 16 50 5D 1A 51 5B 1F 59 5D 23 4F 58 16 4A 56 13 4C 57 1D 50 5C 1B 50 5B 19 4C 5B 19 4C 5A 23 44 51 16 3F 4B 06 41 4B 07 41 44 09 3C 37 08 2E 30 0C 22 2E 08 1C 2D 04 1F 29 05 1A 26 0B 14 22 10 13 1E 0D 16 18 0E 19 13 10 2D 11 14 57 16 17 6B 18 15 78 14 19 7F 10 19 83 0D 1A 87 0D 1C 90 17 28 98 23 35 9D 28 3B 9E 2C 3C A3 28 39 A9 28 39 B2 3B 42 B6 42 45 AD 3A 3E 9F 3D 3E 7F 4A 38 6A 50 24 73 5C 27 7F 6D 2D 80 73 2A 75 6A 1E 77 6D 18 7B 74 1F 74 6F 1D 6C 64 1D 5C 53 0C 65 5C 22 59 4E 17 3E 37 0D 27 2A 12 26 2C 0E 32 36 07 40 41 04 4F 4F 01 62 5B 02 70 68 0B 73 67 17 5E 4D 13 54 46 16 6D 58 23 9F 69 31 B3 6F 32 A6 62 11 AA 6C 1E B5 6F 26 BE 70 26 C4 70 21 CB 70 12 D5 74 1C E6 84 44 EA 83 48 EF 7C 3D E5 67 1F E0 56 03 DA 4F 00 CE 4A 01 B8 4B 03 A2 54 14 6E 43 1B 2C 1A 03 40 39 06 8E 83 2C 9F 96 2E 9A 91 26 93 8A 1F 8F 88 1E 8F 89 1C 8E 87 14 8B 82 11 88 7D 13 +5F 66 17 5D 67 19 63 68 17 66 68 15 63 6D 19 61 6D 13 60 6A 14 5E 69 1A 5B 68 10 59 65 15 55 62 0F 55 61 07 55 61 0B 55 61 0F 5B 63 12 56 63 10 59 67 10 5D 67 1A 5E 66 1E 5E 68 1A 60 6A 1D 62 69 19 65 6B 0F 63 6B 15 62 6B 19 65 6C 1A 65 6F 1E 6B 72 21 68 71 19 5E 6A 0A 5D 67 0B 58 65 0A 56 65 02 5D 69 0B 68 72 1D 72 79 2A 6F 77 23 6E 79 24 70 7B 27 74 7D 25 76 7C 21 76 7A 1D 77 7B 1E 7D 7D 20 86 81 23 8B 83 27 8E 85 2B 8E 86 28 8C 87 26 86 84 23 87 85 26 8A 85 26 8B 83 23 86 81 1C 82 81 19 80 80 19 7E 81 19 7D 83 17 7E 7F 14 84 81 1C 82 83 1D 84 82 19 83 7E 0C 88 7C 1E 8E 73 28 8F 59 20 93 47 20 99 3F 2A 9A 33 27 96 35 2A 98 36 2D 95 2D 2C 97 2C 31 9C 27 30 96 25 28 8F 33 2C 89 48 2E 80 5D 2F 72 6D 31 60 6B 28 5B 67 27 5B 68 24 60 69 24 63 6C 2A 65 6C 2B 67 6D 2D 6B 71 33 6A 70 33 66 6F 31 62 6C 2D 61 6B 2C 63 6A 2B 62 6A 2D 60 68 31 5F 68 30 5C 67 30 55 63 26 4F 5F 1A 4C 5C 1A 4B 5A 1B 4A 59 1C 4A 5A 1C 50 5B 19 55 5D 21 53 59 23 4D 55 1C 4B 55 18 49 56 1C 49 5A 1A 4A 5A 1E 47 5A 23 4A 5A 25 48 51 19 43 48 09 41 4A 0B 3E 44 0C 3D 38 10 31 2E 12 20 2A 09 1C 2A 0A 1F 26 09 1E 23 09 19 21 0A 14 1C 0B 12 19 0B 23 12 0B 48 14 16 64 15 19 71 12 19 78 0F 14 7D 0D 15 82 0B 17 87 0D 16 8E 16 21 96 21 31 9A 28 35 9F 2C 3E A3 2B 3E A3 28 38 A9 2F 36 C8 5D 5A CD 6A 66 A3 44 3D 75 27 1F 61 29 14 78 51 2A 8E 6D 39 8F 76 36 8B 78 37 8D 7C 35 80 77 24 74 6D 17 6F 68 1D 76 6E 2C 5A 53 17 49 42 0E 3A 36 10 27 2A 0A 2D 32 08 3A 3A 06 4A 45 04 5A 53 02 67 5F 07 71 67 0C 7B 6F 12 76 66 19 61 49 0A 8E 5E 2B B2 73 35 A9 65 0F A7 6A 17 B5 7B 39 B6 73 29 CA 7B 37 CB 7B 31 C8 71 16 D6 6D 12 E1 6F 23 E8 70 2B EF 6C 1E E6 5A 08 DD 4E 01 D8 49 00 CF 48 00 BC 47 01 A4 4C 0F 77 43 18 36 1F 0B 29 1F 0B 4B 43 0A 8E 86 2D 92 8C 1F 90 86 13 89 83 17 89 81 1F 8A 7F 11 88 7C 10 85 7A 13 +61 66 0E 61 67 07 64 67 02 66 67 05 63 6B 12 62 6A 14 62 67 11 60 67 11 5D 66 14 57 64 14 53 61 0E 54 60 0A 57 5E 0A 59 61 06 5B 63 09 59 63 0C 59 65 0B 59 65 11 59 66 1A 56 67 1F 57 68 1A 5E 69 19 62 68 16 60 6A 18 60 6B 1A 62 6C 1D 64 6E 20 69 74 29 60 6E 1E 59 6A 11 5A 67 0F 57 62 07 59 64 03 62 69 11 68 73 24 71 79 2A 6F 75 1E 71 78 20 76 7D 26 76 7D 25 75 7C 20 73 7A 1B 77 7B 19 7F 7D 19 85 80 1E 8A 85 29 8D 86 2A 8B 85 27 88 84 25 85 83 25 85 82 20 85 84 1B 81 82 18 7C 7E 19 7A 7E 1F 7C 7B 1D 80 7D 19 7E 7D 12 7E 7B 11 7E 7E 16 80 81 1D 88 71 18 8C 4E 11 96 2F 22 9A 1C 2A 9C 13 2B A5 19 36 A8 1C 39 A5 0F 2A A6 13 31 A7 19 37 AF 24 43 B5 33 54 B9 37 5B B5 36 5A B0 32 58 A8 23 44 9A 19 2C 8D 31 2B 72 50 27 5D 63 29 58 67 28 5A 67 2B 5C 66 2C 5E 67 2A 63 6B 29 69 6D 2B 6B 6F 31 66 6D 2E 63 6B 2A 62 68 2C 5F 68 2D 5B 67 30 5A 66 32 5A 66 31 59 65 2C 54 62 25 4D 5E 1D 4B 5B 17 4B 58 1A 4B 56 1E 4B 58 21 4D 59 1E 50 58 1F 51 58 21 4D 56 1F 47 55 1A 48 56 19 4A 57 20 47 58 1D 43 58 1C 46 58 23 45 50 1C 40 45 0C 3E 47 0E 3D 45 0C 3E 3A 0F 33 2E 10 22 27 09 1B 28 0B 1B 24 0C 19 22 09 17 1F 06 13 1C 08 16 17 08 38 13 11 59 15 16 6B 13 15 73 0E 16 75 0B 12 7C 0B 16 82 0C 15 86 0E 15 89 13 23 90 1F 2D 97 25 2E A0 28 39 A5 2B 3E A6 2A 38 A5 28 2E B7 4B 47 C7 69 62 B3 55 50 A9 47 44 9D 3F 3B 92 3E 37 88 39 28 83 48 27 8D 6C 37 7E 6D 27 7B 71 23 75 6D 1A 80 7A 2C 81 7B 2F 62 5B 16 45 42 0B 34 31 09 31 2B 07 37 35 07 3F 40 02 4D 49 01 5F 54 04 6D 60 07 73 67 0F 7C 6E 11 87 72 1C 95 68 1A A3 63 1B A3 60 0F A1 63 0B AF 7A 38 B6 80 43 BE 7B 2D CA 7D 36 CA 7C 37 CD 77 2A D9 6F 20 E2 6D 20 E7 67 18 EA 5D 07 E7 54 01 D8 4B 02 D5 49 03 CF 48 00 C0 47 04 A4 45 0E 7F 48 1A 4D 2F 11 30 1C 0D 32 23 0C 57 4D 17 8C 85 29 8B 81 14 84 7D 13 81 7A 11 82 79 05 82 79 06 7F 77 05 +5F 66 0B 5F 65 09 61 66 07 62 67 0A 5E 69 12 5E 69 11 5F 69 12 5E 65 15 5D 63 18 59 62 16 53 62 11 52 5F 0F 52 5E 0D 53 60 0B 56 62 10 56 62 0D 57 62 0E 58 63 14 59 63 17 59 64 15 59 64 16 5B 65 15 5B 67 16 5D 67 1B 61 6A 21 60 6D 21 62 70 28 65 74 2D 5B 6A 11 5D 66 09 5A 65 0C 57 61 04 5A 65 04 62 6C 17 6A 76 2C 6A 78 29 6A 77 22 70 78 22 76 7C 23 76 7E 25 73 7C 1F 73 7A 17 76 7A 16 7B 7E 1F 81 81 1F 89 84 25 85 85 29 82 84 28 81 82 22 82 7E 1E 83 7F 22 80 80 1B 7C 7E 18 79 7C 1D 75 7A 1E 75 78 19 79 77 16 7A 76 11 7C 77 0C 82 73 1D 88 5A 23 93 2B 20 9A 11 26 A4 11 30 A8 1A 34 A9 27 3F B0 33 4B AD 22 3B B0 2B 42 B0 32 49 B1 33 4E BB 4B 61 BC 47 5C C1 5A 71 C0 63 80 BB 64 82 B7 4F 6B AD 28 40 A4 12 2E 98 19 2D 7F 3B 30 65 5F 32 5C 66 2E 5C 65 29 5C 65 24 5F 68 25 61 69 27 64 6A 2A 60 6A 2B 5E 66 29 60 66 29 5C 66 24 5B 65 25 5B 63 28 57 62 2B 58 63 2E 55 61 2C 4B 5C 22 47 58 1F 47 56 1F 44 56 1D 4A 58 1E 4E 57 1A 4E 56 1A 52 58 1E 50 54 1B 4A 55 1D 45 55 1D 49 55 1F 48 55 1D 44 54 17 4A 56 1E 45 50 18 3A 47 0A 3C 45 0B 41 41 0B 3D 38 0D 31 2E 0D 24 26 05 1E 25 09 1A 23 0C 17 20 09 16 1E 0B 12 1A 10 23 16 0A 4D 14 0F 60 13 17 6B 10 1A 74 0B 16 79 0A 11 7A 0C 10 7E 0F 14 84 0E 19 8A 13 21 8F 1C 29 94 23 35 9B 26 3B 9F 2D 3E A5 31 3E A8 2C 37 A6 27 2D A5 29 2B B2 45 41 E1 8B 82 C8 6A 62 9D 32 35 97 2C 31 89 23 22 87 38 23 7E 58 27 75 63 1F 78 6A 1D 80 76 2A 74 6C 1F 5F 59 12 4A 46 12 35 33 0A 33 30 04 3E 38 06 46 43 04 54 4F 04 61 58 07 6E 62 05 76 69 02 81 6B 10 98 6D 24 A2 64 1E 9E 5C 07 9E 60 0D A8 75 35 B4 85 50 AC 75 2A B6 7B 39 C8 8C 53 C8 7C 2F D1 76 1E DC 73 29 E5 6D 25 E8 63 0F E7 58 05 E3 51 03 D9 4D 02 D2 49 00 CF 4B 03 C3 4A 11 A0 3F 08 83 50 0B 86 73 33 3D 2B 07 2B 17 08 30 23 01 6C 64 1F 86 7F 19 82 78 07 81 77 04 7E 74 00 7C 74 05 79 75 05 +60 64 09 5F 64 0A 62 66 08 62 68 0B 5E 69 14 5E 67 13 59 65 14 59 62 12 5C 63 11 5B 61 0F 55 60 09 52 5F 06 54 5D 07 5A 5F 0A 57 61 08 51 61 08 51 61 0E 54 61 14 57 60 13 54 61 0E 55 5F 0F 5B 62 0F 5D 65 11 5E 65 14 5F 68 1A 5F 6A 1F 67 71 29 68 70 27 5D 66 0C 5C 64 0A 58 61 0B 55 61 07 5A 65 07 67 6F 1D 71 7A 2F 6D 78 2B 6A 76 25 6E 77 23 73 79 22 76 7C 20 75 7A 18 77 7A 13 7A 79 14 7C 7B 17 7E 7E 18 80 7F 22 7F 81 23 7F 80 21 7D 7E 1F 7A 7A 1F 78 79 1C 76 7B 18 74 79 17 74 74 19 73 73 15 74 74 10 74 73 0A 73 71 0A 7C 68 11 87 42 1E 96 1B 20 A2 0D 28 A7 16 33 AB 27 3C AE 29 39 B4 39 4C B7 39 4B B0 23 3A B8 41 52 B6 43 54 BA 3C 50 BA 35 4A BB 31 43 B9 38 4E BA 47 5E B8 50 66 BA 53 68 B8 47 5B AA 1E 35 A6 0D 28 9E 15 23 87 3D 2A 67 5D 2C 54 63 26 56 63 27 56 65 2B 58 66 2F 61 68 33 5B 68 2C 57 65 22 5B 64 22 5B 63 23 59 62 25 55 63 28 53 62 28 53 63 2D 4F 60 2C 4B 5A 24 48 57 1D 45 55 1E 42 58 1E 46 57 1E 49 56 20 47 56 1E 48 54 19 4E 53 16 4A 54 14 45 54 1C 47 53 21 45 53 20 44 55 20 47 55 22 43 4E 1B 3A 45 0D 39 44 09 3C 40 0A 3A 38 0E 32 2E 11 22 26 0A 18 24 08 16 22 0A 1C 1C 0C 18 1A 0F 18 17 0E 39 15 0E 56 13 13 60 11 17 68 0F 1A 71 0A 17 7A 0A 14 7D 0B 15 7F 0C 17 84 0C 19 89 12 1F 8D 1C 27 90 21 31 97 23 36 9C 2D 3D A0 32 3F A4 30 3A A4 2A 35 A3 25 33 A5 2A 33 B8 4F 4D BB 58 57 A2 35 35 9B 2A 2B 94 21 2A 89 13 17 7D 2F 16 75 50 1E 73 65 1E 84 76 2D 75 6C 22 5B 53 0B 51 4A 10 3C 38 08 37 35 03 42 3E 04 4C 49 04 5B 55 05 67 5F 07 6F 65 04 7C 65 07 97 6D 1E A6 66 21 9F 5F 0D 9B 61 0B A8 77 3B B6 8D 5B B3 87 4C B1 78 2E B6 78 31 BE 7C 33 C6 76 24 D1 74 23 DC 71 1E E6 67 13 EA 5D 08 E5 57 06 E0 53 02 DB 4C 00 D7 4D 01 D1 4D 08 BC 46 09 91 34 01 79 4B 07 92 86 2A 6E 5D 1C 2E 17 03 29 18 09 44 3A 09 7C 75 19 7F 77 09 7E 75 08 7C 73 02 7A 70 02 75 6E 01 +5D 66 0A 5D 66 10 5F 67 0F 62 69 0C 60 69 0C 5B 67 0F 59 64 10 54 63 0C 57 61 05 5A 61 0A 54 5F 0E 50 5E 0D 55 5E 0B 58 5F 10 56 61 0A 55 60 06 54 60 0E 52 5F 11 53 5E 11 51 5F 10 50 5E 0D 54 60 0F 58 61 11 5E 64 13 5D 67 1C 62 69 21 66 6F 2C 60 6C 1B 5A 63 06 57 62 0A 54 5F 05 52 61 07 5D 66 0D 6C 70 26 71 77 32 6D 74 2B 6C 76 20 6D 77 22 73 79 24 72 7B 20 74 7A 1B 76 78 17 78 78 18 79 78 1B 77 7A 1D 7D 7B 1E 7B 7B 19 77 79 16 75 79 13 76 7A 1D 73 78 1D 75 76 14 74 73 12 70 71 11 71 70 0E 70 6E 0B 71 6E 0C 7E 61 16 89 3C 1B 95 16 22 A3 10 24 A7 17 29 AB 24 32 B0 2B 3F B5 31 42 C8 51 5B BF 45 4E B1 28 3B B8 32 42 C5 45 4B C9 4C 4F D6 65 6A CA 50 57 C0 3E 4D BC 3D 49 B9 34 43 B2 33 47 B1 35 46 AF 2F 42 A7 18 2E A3 10 1F 99 1C 26 88 45 37 61 56 25 58 61 28 56 61 2C 54 63 2C 5B 67 31 58 65 29 55 63 28 56 61 27 55 61 2E 53 61 30 51 61 2A 51 61 24 4C 61 26 48 5D 27 4A 56 25 48 54 1D 44 53 1D 46 55 21 47 54 21 48 55 22 49 55 1B 51 53 1E 50 52 1D 47 50 1B 46 52 21 4A 53 21 45 53 22 43 53 23 46 52 21 41 4C 17 39 45 0B 38 44 06 3D 3F 08 3B 36 0C 33 2D 0F 25 23 0D 19 21 0A 17 21 07 17 1C 0B 15 1A 0C 25 15 0D 46 13 14 59 12 17 61 10 14 6A 0B 16 70 08 17 79 08 18 7D 08 19 81 0B 17 83 0E 1A 85 13 22 8C 1C 28 92 21 26 96 27 2E 98 2A 39 9A 2D 3E 9F 31 3F A1 31 3C A1 2B 35 A5 2D 32 B0 3F 42 A9 3E 43 A2 37 40 9B 2D 33 97 24 30 90 14 20 81 1B 16 75 3E 21 6C 57 20 6F 62 21 66 5C 1C 5B 51 18 4D 4B 12 3B 3D 06 3D 39 07 4A 43 04 54 4F 01 65 57 03 6B 5F 05 70 61 07 8D 6A 1E A5 6F 28 A1 66 1D 98 61 0F 9C 6D 24 B2 8D 5C B1 8B 4D AB 7B 31 AF 76 2D B4 74 1E BB 73 17 CA 7A 23 CE 76 25 D4 69 12 DF 5D 00 E6 5A 08 E6 5A 14 DF 54 09 DA 4B 05 D9 4C 08 CE 4C 0C AA 3E 06 79 2E 00 74 54 12 87 80 1C 85 76 1B 48 31 04 2A 16 0A 31 26 05 69 63 15 7C 77 09 76 72 08 71 6F 0A 6F 6B 03 6A 67 0A +61 68 05 64 68 12 63 68 14 63 69 10 62 69 0C 60 68 0D 5D 65 17 56 64 19 56 61 10 59 60 10 56 5F 0C 55 5F 08 58 5F 07 56 63 15 53 63 18 54 61 10 55 60 13 54 5F 13 52 5D 10 52 5E 11 52 5E 11 50 5F 10 54 5F 0E 5A 62 0F 5B 66 1A 60 68 1F 62 6E 2D 58 69 1B 56 63 09 55 60 0A 52 5E 04 56 62 00 63 66 12 69 71 2B 6A 76 31 6A 72 27 6A 73 1D 6A 76 22 6F 79 26 70 7A 1B 72 78 14 76 77 18 78 77 17 79 77 1B 76 78 1C 7A 77 1A 76 76 15 75 77 12 74 77 17 71 76 16 72 75 14 74 73 13 72 70 0E 71 6E 04 6D 6D 04 6F 6A 08 7D 5E 17 8D 38 22 96 17 1F 9F 0F 21 A3 12 28 A8 1D 2F AB 26 37 B5 33 42 BF 3F 47 C0 47 50 B2 30 39 B3 2A 37 C0 41 4A D2 5A 5C D2 58 53 F7 9D 92 D7 68 5F CE 58 53 E4 7C 77 BF 41 40 B7 2F 39 B1 2B 39 AF 2B 3A AD 27 39 A6 16 26 A0 10 22 A0 29 36 84 37 28 64 50 26 53 61 23 51 64 26 59 63 2D 5B 62 28 58 5F 27 54 5E 28 54 60 2A 55 60 2A 55 5D 29 53 5C 29 50 5B 25 4A 58 21 44 54 1F 42 52 1C 40 50 18 43 50 19 48 50 1C 4A 52 1C 48 53 1D 4D 52 23 4B 4F 1B 44 4F 1B 41 51 22 44 51 20 45 51 22 44 4E 1F 43 4E 19 3F 49 0F 3C 45 07 3C 42 05 3C 3D 0C 39 36 10 32 2E 0E 24 25 0E 19 1F 0B 17 1E 07 15 1B 07 17 18 09 32 13 0F 50 0F 13 5D 0E 12 62 0C 13 6C 09 15 70 08 16 76 08 18 7B 0A 19 7B 0B 1A 7E 10 1D 84 18 22 8B 18 25 90 20 2B 95 28 31 96 28 33 97 29 37 9C 2D 3D A0 2C 3A A1 2A 34 A2 27 2E AB 39 39 A9 3C 3C A2 34 3B 99 27 31 96 20 32 8E 1B 2B 83 17 1B 75 2F 1E 6E 50 28 69 59 21 56 4A 0D 5D 54 1B 56 52 14 49 44 07 48 3F 08 55 47 04 64 53 05 6A 5B 08 70 5C 04 86 5D 0A A4 6D 22 A1 68 14 94 61 05 91 63 12 A5 83 4B AE 90 5C A7 7B 31 AB 75 29 AC 73 28 B4 73 17 BC 74 0E D4 89 35 D4 81 2D C9 63 00 D5 59 00 DD 5B 08 E3 5F 1A DC 55 0C D8 4B 03 D5 4C 0B C1 48 0D 8E 32 03 6A 30 00 6E 5A 0F 82 7B 13 87 78 0B 66 52 0E 31 19 02 2E 1D 04 54 4F 0D 77 73 13 6D 6D 0B 67 69 17 68 65 1D 5F 60 15 +66 69 11 6C 69 11 69 69 0F 64 6B 12 61 6A 13 61 67 12 5D 65 13 5A 64 10 5A 61 0C 56 60 13 53 5F 0D 56 5F 0A 58 5F 0C 5A 63 14 58 64 1B 53 62 17 4E 62 15 4E 61 15 51 5E 14 52 5C 14 55 5E 17 54 5F 18 52 5F 13 54 5F 11 57 61 12 57 64 16 5C 6B 1F 5A 65 0A 57 60 02 54 5E 09 54 5F 0E 55 62 07 5B 69 11 65 73 28 69 74 2A 68 72 25 6A 73 24 6C 75 25 6E 78 21 6F 78 18 71 77 13 75 76 16 75 77 15 72 76 15 73 77 16 71 74 12 6F 75 10 72 76 0F 70 74 10 6E 71 0F 6B 6E 0C 6E 6E 0B 69 6D 04 6C 6B 00 71 68 01 81 57 12 8D 30 1F 94 16 22 9B 10 23 A2 11 28 A5 14 2C A8 1E 31 AC 27 3A BB 3F 46 C1 46 51 B0 2B 40 AE 26 3B B2 2A 37 B9 3A 3E CD 58 5A E8 7D 74 EE 92 7C D8 70 5D D3 62 59 EB 90 86 D4 6D 63 B7 37 34 B3 30 3B AD 26 34 AC 27 37 A9 1F 30 A2 11 25 A4 1E 2E 9F 23 30 89 2A 25 70 4E 26 5C 5D 27 56 60 27 56 5F 23 53 5D 27 50 5C 2A 53 5F 29 51 5D 27 4F 5B 24 50 5A 28 4D 59 2B 47 56 24 43 53 1C 41 50 19 3F 4E 17 40 4F 18 43 50 1B 44 50 1D 46 52 1F 49 51 20 4A 4E 1C 49 4D 1F 45 4F 1D 44 4F 1C 47 4F 1C 43 4F 19 3F 4E 1B 38 47 15 37 43 0F 37 40 0D 35 3B 0F 35 35 10 35 2E 0F 2B 25 0D 1A 1E 0B 19 1B 0C 18 19 08 22 15 0D 44 11 12 55 0E 13 5E 0A 15 65 08 1A 6A 08 18 70 08 15 75 0A 14 77 0B 14 7A 0B 16 7E 0E 1A 81 15 1F 87 1C 28 8C 23 37 91 25 35 93 27 32 94 29 35 98 26 35 9C 26 35 9C 26 32 9B 23 2D 9C 24 2A A1 2C 30 9C 2C 31 96 21 2A 93 20 2B 8E 1F 2E 83 19 20 76 2B 23 68 49 2C 55 45 14 5A 4D 14 63 58 14 65 5E 14 64 59 17 55 44 09 59 4C 0C 64 57 06 6D 5C 07 83 5D 0D A3 68 25 A3 69 20 93 60 0C 8E 62 04 97 75 2C AF 95 64 A1 80 3C A5 77 26 A5 72 22 AB 76 23 B5 7B 22 BE 7F 2E BF 7D 26 C7 78 1D C5 64 02 CC 5A 02 D3 5B 03 DA 5F 14 DB 58 10 D7 4C 05 CC 48 07 AB 3B 08 75 2A 00 6C 4A 08 7B 71 12 7E 71 06 7F 72 02 74 65 13 3B 23 04 2C 19 04 41 3C 0D 65 65 20 5D 5F 1F 5B 5D 28 58 59 22 4E 54 18 +6C 6E 1F 6C 6D 16 69 6D 11 68 6D 18 65 6A 18 64 68 16 61 67 18 5A 66 14 59 63 0B 58 61 0E 54 60 11 52 5E 0C 54 5F 0C 5B 62 10 5A 63 12 57 63 18 52 62 18 4F 60 12 51 5F 12 52 5E 10 53 5E 11 55 61 18 53 60 14 51 5F 0A 51 5E 06 51 5D 08 58 63 0A 57 60 07 54 5D 06 51 5B 04 54 5D 0A 55 60 0A 5B 67 16 65 71 27 68 72 24 68 72 20 6A 72 1F 6A 72 1E 6C 75 18 6D 76 19 6F 75 16 74 73 13 75 74 0F 72 74 0F 72 74 0E 6F 70 0A 6F 71 0C 6E 70 0B 6C 6F 08 68 6C 08 67 6C 0D 6B 6B 0E 68 69 07 6C 68 02 7A 57 0E 86 2A 1D 8D 12 27 98 0F 23 A0 10 22 A3 14 27 A4 19 2C AA 23 36 AE 2A 38 B1 32 3C B0 2F 40 AC 26 39 AD 27 36 B1 28 32 B3 2C 33 BD 3D 43 C5 4E 4E C5 4D 47 C9 5B 52 C9 59 54 C4 53 52 BB 49 4E B0 2E 39 B3 33 43 AC 26 34 A6 23 33 A6 20 34 A2 15 2B A6 25 3A A1 1C 33 A1 13 26 96 1F 21 7F 45 2D 5B 5B 2F 4D 5B 22 4F 5A 23 4E 5A 26 4B 5B 29 4D 5C 27 50 59 1F 4F 58 21 4A 57 20 45 54 1E 44 52 1D 3E 4F 1A 3C 4D 1B 3E 4D 1B 3F 4E 1B 45 4F 1D 48 4F 1E 48 4F 21 45 50 25 45 4E 1E 43 4D 1A 44 4E 1A 44 4E 18 44 4B 18 44 4C 17 3F 45 0F 3B 41 09 3A 3E 0A 37 39 0C 36 33 0F 37 2F 11 2F 25 0B 1A 1A 07 11 1B 0C 19 16 0C 33 12 12 4D 0F 13 55 0D 11 5B 0A 11 65 09 13 6C 0A 14 72 09 15 75 0A 16 75 0B 17 76 0B 14 7B 0D 19 80 11 20 87 1C 29 8B 23 34 8D 21 30 8C 20 31 8B 1F 2D 8F 19 28 97 1C 2C 9A 20 30 98 21 2D 9A 24 2B 99 22 2A 95 1D 2C 93 19 28 91 17 2A 90 1D 30 81 18 1E 78 2B 23 64 35 21 65 40 16 68 49 11 66 4B 0F 6C 53 19 6C 56 1D 5C 4C 06 5C 4E 04 67 58 06 7A 5C 10 9C 64 19 AB 6F 26 98 68 18 91 5F 07 94 6E 22 AC 91 60 A5 8A 4B 99 73 21 9E 73 1B A1 73 1D AA 76 1D B5 7B 18 BC 7C 1C BA 77 19 BD 6D 13 BC 60 02 C3 5C 03 CB 59 00 D5 5D 0E D7 58 09 D2 4D 02 C1 48 05 8C 32 03 6A 37 02 7B 68 07 7C 6F 04 7A 6C 03 7A 6E 02 79 6D 11 48 32 06 30 19 04 3B 30 0D 53 56 21 50 56 18 49 56 1B 49 55 19 49 57 1D +6C 6E 1F 68 71 16 67 71 12 65 6E 18 64 6B 18 65 69 14 63 68 18 5E 67 18 5B 65 12 5B 62 0B 59 61 0B 55 5E 0A 54 61 08 57 63 10 57 62 12 58 62 19 56 60 1C 54 5F 18 55 5D 16 54 5D 13 50 5D 14 51 61 1A 4F 61 13 52 5F 0B 51 5C 05 50 5D 05 52 5F 09 51 5D 0B 4F 5C 07 4D 5B 07 52 5C 0D 54 5E 0C 5B 66 13 65 6E 22 64 6E 1E 69 6F 18 6A 70 15 67 72 18 67 73 1B 6A 75 1D 6C 73 17 6E 71 10 74 72 0E 72 71 10 68 6E 0D 6A 6D 0C 6A 6D 0B 69 6C 09 69 6C 07 68 6B 04 65 69 02 6A 66 01 6B 67 03 75 5F 12 83 30 1B 8E 13 26 95 0F 24 9C 10 20 A0 13 23 A2 18 29 A6 22 33 AA 29 38 AC 2B 39 AF 33 3F AA 2E 3A AB 29 37 AD 29 37 B1 2A 33 B1 2F 33 B7 3E 40 B6 38 3C B6 33 37 BC 42 47 BF 49 4E BC 44 48 B4 35 3D A9 28 36 AB 2E 42 A8 26 35 A5 21 2F A5 23 37 A4 1D 32 A7 2A 3B A6 21 35 A1 0E 24 A4 17 31 9D 21 2F 8B 47 37 5F 53 25 4B 57 20 46 57 25 4A 5A 2A 4F 58 2A 4D 57 26 4C 57 25 47 56 24 44 54 20 44 4F 1A 41 4A 14 3F 4B 12 3F 4B 14 41 4B 19 44 4C 1B 45 4E 1F 46 50 21 46 4E 1D 45 4D 18 42 4C 1A 41 4B 18 43 4C 15 44 4B 15 43 49 17 3E 44 11 3B 41 0A 3B 3F 0A 38 38 0A 3B 36 10 37 2E 0F 30 22 0C 1C 18 0A 11 18 0D 20 12 0B 3E 0E 10 4F 0D 15 55 0B 14 5C 09 14 63 0B 12 6B 09 14 71 09 15 72 0B 16 73 0B 17 76 09 16 7B 0B 1B 7F 10 22 83 18 27 86 1D 2A 88 1C 29 88 15 28 88 0F 24 8B 0D 22 91 0E 21 91 16 24 93 1D 27 93 17 24 97 16 1E 98 15 1D 92 13 1E 8C 13 23 88 12 23 80 15 1B 79 1C 16 75 1E 17 78 2A 1C 75 30 1B 76 30 1B 7A 30 18 79 34 19 6D 41 12 66 4F 05 6D 56 07 93 61 1A A3 67 11 9A 64 07 90 63 04 90 6C 14 A2 89 52 AD 99 65 99 7A 2D 95 70 14 94 68 08 99 71 18 A6 76 1A AD 78 18 B6 78 10 BD 79 15 B8 6D 11 B4 5D 02 BF 5C 00 C8 5B 06 CE 5B 0C D1 53 06 C7 4C 02 AC 43 03 74 31 00 6F 5A 07 77 6D 01 76 6A 00 72 6B 00 6E 6A 06 6B 65 17 4B 37 0B 30 1B 05 35 30 0F 4E 52 1C 4B 50 11 49 50 12 4D 56 1C 4F 5A 24 +6D 71 1B 6E 6F 1A 6D 70 1E 66 70 1D 63 6F 1C 63 6B 1A 65 69 16 61 68 13 5F 67 12 5B 65 10 59 61 09 57 62 11 56 63 12 57 62 15 57 62 16 58 63 17 57 61 17 57 60 17 56 5F 18 4F 60 17 4E 5E 15 51 5D 16 52 5E 18 53 5F 15 51 5A 0D 53 5C 0E 50 5C 11 4F 5A 07 4E 58 00 4F 5A 0B 4F 5E 0E 56 5F 0D 5F 67 15 67 6F 23 65 6E 21 66 6D 1F 66 6E 1D 66 70 19 69 70 18 6C 73 14 6C 72 0F 6D 70 0F 6F 70 09 6A 6D 09 61 6B 0B 62 6B 0E 65 69 0B 64 68 05 67 68 01 6A 66 00 68 66 00 63 66 00 6C 63 0A 7C 3F 17 88 13 1F 91 0D 2A 99 0E 22 9D 12 23 A0 14 29 A2 1E 2F A6 28 34 A8 2B 32 AA 29 37 AD 2B 3C AE 2C 3A AD 2B 36 AE 30 38 B3 31 39 B2 34 3A B8 41 44 B0 34 34 B8 3E 42 BD 4B 4E C1 4D 4D BF 4C 4C AE 36 3C A7 2C 38 A6 2B 3C A5 2A 37 A4 22 2D A2 23 32 A4 22 36 A5 28 3A A7 22 37 A5 14 2A A8 23 3D A1 18 30 9D 21 2A 8F 43 3D 63 4E 2A 4A 52 20 49 56 29 4D 54 29 4A 53 25 4E 54 28 49 54 27 44 51 1F 41 4D 17 40 4A 0F 3E 49 0D 3E 48 12 42 49 1B 42 4A 18 45 4D 1C 45 4F 1D 42 4F 1A 42 4E 1A 3F 4A 18 3F 49 12 43 4A 0F 45 4C 12 40 49 14 3A 41 11 3B 40 11 3A 3E 12 36 37 0A 3A 35 0E 33 2B 0B 2B 21 0C 19 18 0B 14 15 0D 2E 10 0F 4A 0D 0F 53 0A 11 58 08 11 5F 09 11 65 08 16 69 08 17 6E 09 18 6E 0A 15 73 0A 15 75 0B 15 79 0A 15 7F 0F 19 81 12 20 83 13 1F 86 11 1E 87 0E 1D 85 0C 1C 88 0D 1D 8B 0A 1B 8B 10 1C 89 13 20 8C 10 22 8F 0E 1A 92 10 1A 8F 13 22 8A 14 20 85 12 1A 7E 16 18 7A 18 18 74 16 15 77 1E 1C 79 24 20 7C 25 1B 7F 26 20 7F 27 20 7A 34 18 6F 4A 0D 83 5D 13 9F 67 11 9E 67 07 94 66 04 90 6D 0E 9D 7F 3E AC 98 6A 9E 89 51 95 74 27 8F 6E 15 8C 68 0A 92 6A 10 A0 76 23 A9 7E 30 AF 79 26 B5 7A 24 B2 6D 13 B0 5D 01 BE 5F 02 C4 61 08 C8 5A 05 C7 52 01 B7 4B 02 8C 36 01 6A 41 06 77 68 0E 72 68 05 70 67 08 64 65 0B 55 5C 0E 52 53 1D 47 36 13 38 1F 0D 38 2F 10 46 4E 1B 47 50 16 49 50 15 4A 53 1A 49 51 1C +6E 73 19 6E 72 1A 6B 73 21 68 71 20 63 6E 1F 60 6B 1B 63 69 1A 61 69 18 5E 68 18 5B 66 17 5B 63 11 5A 64 12 5A 65 12 58 65 14 5A 65 18 5A 63 15 5A 63 14 5A 63 15 59 62 17 54 61 1A 52 62 19 54 60 1B 55 60 19 52 61 12 4F 5E 0E 4C 5A 0E 4C 59 0F 4C 57 09 4E 55 09 4E 59 06 4F 5C 04 54 61 09 5F 69 18 64 6C 24 65 6E 20 65 6D 15 65 6E 14 64 70 13 67 71 15 6D 72 1D 6C 70 13 6A 6F 0B 69 6E 0B 66 6A 08 63 67 02 65 66 03 65 67 05 60 66 05 60 67 05 63 65 03 64 63 01 67 62 02 73 50 15 80 1F 1C 8C 0C 20 94 0C 23 9A 0E 24 A0 16 29 A2 1F 34 A3 26 36 A8 29 37 A8 29 37 A8 29 38 AA 29 3A AC 2A 39 AC 2B 38 B1 35 3F B1 33 3A AF 31 36 B6 39 3C B4 37 3B B7 44 49 BA 4C 4D BA 4C 45 B8 4A 48 AF 39 41 A6 2F 3D A3 28 3A A2 27 36 A1 20 2E A3 1F 2E A3 20 32 A3 1F 34 A6 20 33 A6 23 35 A9 2E 40 A4 25 3B 9F 12 27 9F 1A 26 93 34 2D 70 4A 27 4C 52 1D 48 52 1E 49 51 24 4C 53 2A 4B 4F 25 48 4D 20 42 4B 1B 3C 49 14 3C 48 12 3F 48 15 42 49 1A 41 4C 16 43 4D 18 43 4E 1A 44 4F 1C 41 4E 1B 40 4A 13 3D 49 14 42 4B 16 45 4B 1B 3E 45 14 39 40 13 36 3E 14 3A 3A 13 36 34 0E 34 2F 0D 30 28 0C 29 1D 0B 19 15 0C 1E 13 0C 3A 0E 10 4C 0D 0C 54 0B 0C 5B 08 0E 60 08 13 64 08 12 68 09 15 6F 09 17 70 08 13 73 0B 13 76 0D 15 79 0C 18 7C 0D 1E 7D 12 1B 81 12 1C 82 0E 20 81 0C 1E 84 0D 19 85 0C 17 87 0D 1F 8A 15 21 89 18 1E 88 15 1F 88 0C 16 8B 0D 14 8B 17 1F 87 16 1D 81 0E 17 7B 10 16 7C 14 1D 76 10 1E 74 18 1E 78 1A 16 7B 1D 12 7F 22 16 7D 20 1B 77 2A 14 83 4E 1C 99 68 1F 9B 67 09 96 68 00 91 6A 04 93 74 23 A5 92 5A A3 90 57 97 7F 38 91 73 1C 8A 6D 0D 8F 6D 13 97 6E 17 9B 74 19 A2 7C 2A AC 83 42 A7 77 2B A8 6E 12 AD 62 05 B5 5D 03 BE 5F 06 C0 57 01 BC 51 01 9D 44 02 6C 34 02 6A 5E 11 6C 67 09 67 63 08 5D 5D 0C 4F 56 14 46 54 16 4E 4E 18 56 3B 21 3A 1F 0E 39 36 12 43 4E 1D 41 4E 17 43 4E 17 43 4F 1A 43 51 21 +75 75 19 73 74 16 6F 72 1C 69 72 20 66 6E 1D 66 6C 16 64 6B 16 63 6C 1A 62 6B 1B 5F 68 16 5F 65 0F 5E 65 14 5D 68 1A 5C 68 1C 5B 67 1A 5A 66 1A 58 66 19 59 66 18 58 63 1C 57 61 1F 57 62 1B 59 63 1C 58 64 1F 5A 62 16 57 5F 0E 4F 5A 08 48 55 04 4F 56 07 52 57 0C 4C 57 06 4F 59 02 54 60 0E 5F 68 1A 67 6A 1C 63 69 16 61 6B 0E 63 6C 0F 65 6E 12 67 71 1B 6B 6F 1D 6C 6F 10 6A 6D 05 66 6B 06 62 67 06 60 64 04 60 64 03 62 64 01 62 63 00 61 63 00 5E 61 00 62 60 01 6E 57 0D 7D 32 1D 87 0E 20 8F 0B 1C 96 0F 21 9E 1A 2E 9F 23 37 A1 2B 3C A1 2A 3A A5 28 39 A5 2B 3D A6 2A 39 A7 2A 38 A8 2B 37 AB 2E 35 AE 32 39 AE 33 3A AD 33 38 B5 39 3D B4 3C 3E B9 46 48 BB 4D 4C BF 52 4E B5 48 43 AB 36 3C A5 2F 3F A2 29 3D A1 25 38 A1 20 32 A1 1E 2C A0 23 2D 9F 22 2D A1 22 2D A5 26 35 A8 2B 3E A6 28 3C A2 1B 2C 9F 0E 1E 9C 11 20 94 2F 2C 6E 4B 28 49 4F 1C 47 51 22 47 53 24 45 51 22 43 4E 1D 41 4B 1A 3D 49 17 3F 48 14 3F 47 12 43 4A 15 44 4B 10 43 4E 13 44 4E 17 47 4C 1B 43 49 16 43 48 11 3F 48 14 41 47 14 44 46 14 3D 41 10 38 3C 12 3A 3A 17 3A 37 0D 32 31 09 2E 2C 09 2D 25 0B 26 1A 0F 1B 13 0E 2F 10 0E 41 0C 0F 4B 0A 10 53 0A 12 5C 09 10 62 09 10 65 09 13 68 09 14 6C 08 15 6F 07 15 73 08 1B 78 09 1D 7B 0D 22 7A 0F 25 7B 10 1F 7F 0F 18 81 0C 1A 80 0C 1B 84 0A 1B 85 0A 18 86 0D 1D 87 15 1D 89 19 1F 87 14 1B 89 0D 16 88 0A 14 87 0A 14 82 0A 19 7E 08 19 77 0C 17 76 0E 19 77 0E 19 75 13 19 76 13 18 79 15 0F 7C 18 0F 75 16 0A 81 3A 0E 99 63 1C 95 65 0D 95 67 03 92 6B 00 8B 6B 0B 9C 8A 4C A2 92 57 9A 86 3A 91 7A 20 8D 70 0D 88 6A 04 8D 6B 09 94 71 13 99 75 16 9D 79 27 A9 85 42 99 66 12 A5 6C 17 AC 69 0E AF 60 09 B5 5C 07 B6 53 01 A9 48 04 7C 36 02 68 4C 0A 68 66 09 61 5D 04 53 56 04 4C 55 0B 4D 54 13 49 52 14 4A 45 14 4F 33 1A 38 22 0F 39 3D 17 3A 4B 17 39 47 0E 41 4D 1A 48 55 27 4D 5B 30 +71 76 1C 71 77 17 71 75 1B 6C 73 16 69 73 15 65 6F 21 62 6D 21 67 6D 1E 66 6C 1E 5E 6B 21 5E 69 1D 5F 68 19 5B 6B 1F 5E 69 23 5E 68 1F 5C 69 1D 5C 68 1F 5D 69 1B 5B 66 1A 5A 63 1B 5A 64 1E 5B 63 1F 58 65 20 56 64 23 54 61 1F 51 5A 10 4A 56 02 4E 57 04 50 58 0A 4C 58 0A 4D 58 08 53 5E 14 5D 66 1D 65 66 12 64 68 12 63 68 15 65 6A 15 68 6C 12 68 6C 16 68 6D 17 69 6D 11 69 6A 08 63 67 04 5D 64 03 5C 64 00 5E 63 01 5C 62 01 60 61 00 62 60 00 5D 5D 00 62 5C 05 75 48 18 81 1C 1C 8B 0D 21 90 0C 20 96 15 29 9C 1F 32 A1 26 37 A3 2A 3B A7 33 42 A8 32 42 A5 29 3A A6 2A 3B A5 28 39 A7 28 37 AB 2E 30 AC 30 33 B1 3A 3F AF 38 3E AD 32 40 AC 36 3F B4 40 43 B8 49 45 BB 4E 53 AC 3A 46 A4 30 3D A2 2B 3A A2 2A 39 A2 28 38 A4 21 34 A2 1E 30 9F 22 32 9E 22 32 9E 26 33 A1 2B 38 A3 24 37 A3 23 34 A0 1B 2D 9F 14 27 9E 12 27 9B 11 23 94 32 30 67 45 2D 45 51 24 43 51 21 44 4F 20 40 4C 1B 3C 4A 16 3D 49 14 42 46 11 3E 4A 10 43 4C 10 48 4E 14 49 4F 18 46 4E 19 44 4C 1A 42 47 1D 3E 45 13 3D 45 0F 3C 44 11 3D 43 12 39 3E 10 3B 3A 12 3E 3A 15 36 36 10 2F 2F 0C 31 28 0D 31 1E 0B 26 17 0B 21 13 0D 38 0D 12 45 0C 12 4A 0B 11 53 0A 12 59 0A 12 62 09 12 66 08 15 68 09 14 6A 09 14 6D 0A 17 71 08 19 75 09 1E 7A 0D 20 7D 0F 1D 7D 0B 22 7C 0A 21 7E 0A 19 80 09 19 83 09 1B 80 0A 18 82 0C 1F 86 0F 1F 87 12 1F 87 0F 21 88 0D 18 87 09 14 83 08 11 7F 09 16 7D 07 18 76 0A 17 74 0C 16 75 0F 14 77 13 16 78 10 19 76 0E 14 73 10 12 7B 2D 0F 98 64 1B 98 6B 0D 94 6A 0D 95 6E 11 8B 67 07 93 7B 32 A1 92 57 95 81 2A 97 7A 1F 96 77 22 8E 6E 0E 91 70 0D 8F 6E 10 8E 6C 0B 92 6F 13 97 76 26 96 70 22 91 5F 01 A1 67 05 AE 6C 13 B1 66 0D AE 59 00 A8 50 00 8A 3D 00 65 3D 05 68 5D 10 5A 58 05 4B 50 0A 47 4F 14 47 52 17 44 50 0F 41 4C 0E 45 3F 12 44 2E 16 37 27 0F 44 43 1C 39 46 11 3A 48 16 48 54 29 49 58 2D 48 51 24 +70 75 19 70 76 17 70 75 1A 6C 74 1F 69 72 1E 69 71 21 67 70 27 67 6E 24 67 6E 23 64 6D 21 61 6C 1D 63 6B 1C 5F 6C 25 5F 6B 27 64 6A 21 65 69 1A 62 6A 1F 5D 6A 21 5E 69 23 5D 66 23 5D 67 24 5D 67 23 5F 67 23 5E 67 26 5D 66 22 57 61 15 4A 59 05 4B 57 07 4C 58 0A 4C 57 07 4D 58 06 53 5C 0A 59 63 13 5F 64 0E 62 64 0E 64 67 12 65 6A 12 67 6B 0F 66 6A 11 68 6C 12 66 6D 0E 63 69 06 60 66 01 5E 63 00 5D 62 00 5D 61 00 5A 60 01 5C 5C 00 5E 5D 00 58 5B 00 66 54 0A 7C 2F 17 85 10 1C 8D 0C 20 91 11 24 98 17 2C 9E 20 32 A2 29 35 A4 2C 35 A4 2F 3B A3 2E 3C A4 2D 39 A7 2D 39 A5 29 35 AA 2A 3C AB 2A 37 AD 2F 37 B5 40 45 B0 3E 3F AD 34 3C AC 34 39 A9 31 35 A5 30 32 A6 33 3C A2 2B 3B 9E 28 35 9C 27 36 9D 27 3A 9F 24 38 9F 24 34 9D 1F 31 9D 1E 34 9D 1F 31 9C 24 32 A0 2E 3C A2 26 39 A2 20 34 9E 1A 29 9F 1C 2A 9F 1F 2F 9A 0F 26 9A 13 21 85 32 2B 57 48 25 44 50 21 43 4D 1F 42 4B 18 41 47 10 3F 48 0D 3F 46 12 41 47 15 43 4D 17 48 51 17 49 4E 18 49 4E 18 44 4D 14 42 47 11 39 44 0F 37 43 10 39 43 0E 3B 3F 10 3C 3B 13 3E 3A 15 3B 36 10 35 31 0A 31 32 0B 2F 29 0C 2E 1E 0D 26 14 07 2E 0F 0A 3C 0C 11 45 0A 13 4C 09 15 54 08 0F 5B 0A 0F 63 08 14 67 08 13 6C 07 11 70 08 12 70 09 13 75 0A 13 76 0E 19 79 0F 1B 7A 0C 1C 7A 08 1C 7C 07 1F 7E 07 19 7E 07 17 7E 08 19 7E 0B 14 7F 0B 17 84 0F 1B 83 0F 1B 82 0C 1C 82 0A 15 83 09 13 80 08 15 7A 0B 12 7A 09 13 78 08 17 76 09 14 73 0E 11 74 11 11 76 0D 11 74 0B 0D 7A 28 0C 94 5C 1E 9D 72 27 9B 76 26 96 6F 10 8C 67 05 8E 6F 1B A2 8F 50 98 85 37 94 7B 14 93 7A 14 95 7B 1F 8F 6B 0A 93 70 06 99 7B 21 94 75 1E 93 6D 1A 8F 6A 0F 8E 61 07 90 5C 00 9C 64 03 AB 6D 13 AD 64 09 A6 54 00 95 44 01 6E 35 01 60 50 0E 54 52 0A 49 4B 06 43 4B 08 48 4F 17 49 51 17 47 50 0D 4A 4E 12 4F 3E 19 43 2C 14 36 2E 0D 3E 44 12 3F 47 15 48 52 25 47 4D 20 3E 44 12 3F 3F 0C +71 75 20 71 75 20 70 75 1B 6F 72 1F 6C 72 22 69 72 1F 66 71 21 64 71 24 67 70 25 6B 6E 21 6A 6D 1C 64 6D 1C 64 6C 22 67 6D 21 65 6D 24 64 6C 1F 64 6B 1F 61 6A 23 61 6A 1F 62 67 20 60 6A 28 62 6D 28 65 6B 26 65 6C 27 64 6C 28 5C 66 22 4F 5A 0E 4D 5A 09 4B 58 07 4B 57 06 4C 57 05 53 5B 07 59 5E 0B 5B 60 0D 5C 61 0D 60 66 0F 62 6A 13 5F 69 18 61 6A 16 63 68 0A 64 68 07 5F 68 03 5D 67 01 5A 63 01 59 61 00 59 5F 00 5B 5C 00 5B 5A 00 59 5B 02 5D 59 04 6D 48 15 7E 1D 1D 87 0B 1B 8D 0C 1C 91 11 23 98 17 2D 9E 22 35 A2 2B 34 A6 2C 38 A4 2A 3B A2 2A 3B A5 2C 3A A7 2C 35 A5 29 31 A9 2D 34 AA 32 36 B1 3E 40 B1 3E 3B AE 3C 3D B4 43 44 AE 3B 3C A1 28 2E A1 26 34 9E 27 32 9C 27 37 9B 26 38 9A 27 39 99 24 37 98 21 34 96 22 34 98 1B 2D 9C 1B 2C 9B 1F 2C 9B 24 2E A1 2D 3D 9F 29 3C 9E 21 33 9F 1D 30 A0 1D 2D A0 21 30 9B 15 22 98 0E 1B 91 1A 21 75 35 2A 52 47 22 48 4B 18 4A 49 14 45 47 12 3F 45 10 3E 44 0F 3F 47 11 44 4C 13 43 4D 0F 44 4C 11 45 4F 14 40 4D 0F 3D 46 09 39 42 0A 39 41 0B 3A 41 08 3B 41 0E 3B 3A 13 3A 37 10 37 33 0E 34 30 0E 35 30 13 31 28 0C 2D 1E 0A 29 12 0C 38 0C 0F 42 0A 11 49 08 11 4D 08 14 57 08 13 5E 08 13 61 08 14 65 09 11 6A 09 14 6F 07 15 73 09 16 77 0E 1A 78 11 1F 79 0F 1A 7B 0B 1A 7A 09 15 79 09 16 7A 08 16 7C 07 17 7B 07 14 7D 0A 12 7F 0A 13 80 0A 19 80 0B 19 80 0A 16 7E 08 18 7C 09 14 77 09 15 77 0C 16 77 0A 1A 76 07 16 76 0A 10 75 0F 11 79 0E 16 76 0C 13 78 23 06 94 5F 13 9C 73 23 99 73 26 91 6D 13 92 66 03 8B 6C 0E 9A 88 44 9E 89 3F 96 7B 18 95 79 0D 96 7B 12 98 7B 1A 92 72 0B 8B 6A 02 8E 78 1F 97 7D 29 97 77 24 8D 66 08 8B 5E 00 90 5C 00 A0 65 06 A4 6B 12 9D 5D 06 93 4E 00 78 39 00 5E 41 09 4F 4F 0A 41 48 04 3B 46 07 3C 49 0C 44 4E 0F 4C 52 10 4E 52 13 53 4C 1E 4F 38 20 3A 28 0D 3C 3B 11 42 47 11 45 4A 1B 42 45 15 3A 41 0F 37 3F 10 38 3B 12 +72 74 1A 72 75 1C 70 73 17 6D 72 1A 6A 72 20 69 70 23 62 70 26 64 72 24 65 71 23 68 70 24 69 70 21 68 6F 1E 69 6E 21 6A 70 1E 68 70 21 66 70 25 64 6F 28 65 6E 29 65 6C 23 66 6C 23 66 70 2C 67 6E 27 66 6D 25 67 6E 27 68 6E 26 60 65 1E 52 5A 0A 52 5A 0A 4E 59 0A 49 58 0A 4D 56 08 56 5A 0C 59 5B 0D 5B 5F 0E 5E 5F 0A 61 65 0E 61 68 10 62 68 10 62 67 09 60 66 06 62 65 0B 63 64 06 5F 63 01 5D 5E 03 5B 5E 01 59 5C 00 59 5C 00 58 5C 02 58 5B 06 67 57 0A 77 38 14 83 12 19 8C 0C 1A 91 0D 1E 95 0F 21 9A 1A 29 A4 29 38 A6 2C 3E A4 29 3D A4 2B 3F A5 2C 3C A6 2B 35 A6 2B 36 A5 26 34 AA 2B 35 AE 38 3A B9 4A 47 B1 44 3B AB 32 34 B2 45 48 B1 46 45 A9 37 3B A4 2B 3B 9D 25 35 9C 28 37 9A 29 3C 99 26 3B 98 20 35 95 1D 31 93 1C 2F 97 1A 2F 98 1C 30 99 20 2F 9C 28 35 9E 2A 3C 9D 28 38 9A 21 31 9B 22 2E 9E 21 2C 9E 21 2E 9B 18 27 95 10 1B 93 11 15 8C 26 1E 6D 3F 23 4C 46 16 47 49 14 45 46 14 41 43 10 3D 43 0A 3F 46 0A 44 45 10 43 47 11 44 49 11 45 4D 11 3F 4B 0B 3B 43 08 38 41 09 36 3E 0B 39 3E 0E 3E 3F 16 3C 3B 14 36 34 0B 32 31 0F 34 30 12 37 2E 18 33 24 0D 31 1A 07 34 0F 0B 3E 0B 0F 44 0A 10 4B 09 0C 52 08 0C 59 0A 0E 60 07 10 65 07 13 68 09 11 6D 09 14 71 0A 16 74 11 18 77 16 20 78 13 1F 79 11 16 78 0D 14 78 0B 17 77 09 19 77 07 1A 79 06 19 78 08 12 7B 07 10 7B 07 1D 79 07 1E 7B 08 15 7C 06 12 80 08 18 87 11 1B 8E 1A 1D 9A 22 1F A1 28 26 A3 30 29 A6 3A 2B AB 3F 2B AE 40 32 9B 40 24 99 60 24 A7 7F 33 93 71 17 8E 6F 17 94 72 18 8F 6E 0D 94 80 33 A4 95 5B 9A 84 3B 99 7B 23 96 7A 1C 95 79 17 95 78 18 91 78 19 89 6D 0B 86 6E 0B 91 78 23 95 74 28 8B 60 04 92 5E 00 95 62 02 9D 68 0B 96 64 08 8F 53 01 80 45 03 61 3F 04 49 47 09 3E 44 04 39 43 04 3B 44 07 3F 48 0D 42 4B 0F 48 51 14 57 51 1C 5B 47 21 4A 35 19 3C 33 11 42 43 18 41 42 0C 3E 40 0C 39 3F 0A 37 41 0C 32 3D 0D 32 37 0D +73 74 19 70 74 1F 6C 72 1E 6A 71 1D 68 70 1C 67 6E 1D 65 6E 20 68 6F 26 68 6F 27 65 71 26 66 6F 23 67 6D 21 69 6E 21 69 72 21 6A 73 21 6B 72 24 68 71 28 65 72 2A 66 70 25 68 71 24 6C 70 27 6C 6F 23 6C 70 29 67 71 2B 64 71 2B 5B 68 22 50 5C 09 51 5A 08 4D 59 0C 4B 58 0A 4E 56 04 55 5A 06 58 5B 08 5B 5E 0C 5D 61 07 60 64 09 62 67 0F 63 66 13 63 66 0D 60 65 07 60 64 09 62 63 03 61 60 00 5C 5E 00 5A 5D 00 5B 5C 00 58 5B 00 55 5A 05 56 5A 06 69 4E 14 7C 28 1C 87 0E 1C 8E 0D 1D 95 0F 20 98 14 26 9D 25 32 A2 2C 3B A1 2D 3E A3 2B 3D A3 2C 3A A6 2E 39 A5 2D 38 A3 2B 37 A7 2A 35 A6 29 32 AA 34 3B B1 40 44 AA 3A 39 A6 35 32 B4 4E 4A B5 53 50 AC 42 41 A4 35 3A A0 2D 38 9E 2B 39 9B 29 39 97 23 34 95 1C 2C 96 19 2B 96 1C 32 96 1E 36 96 1F 35 99 23 32 9A 26 35 9B 25 37 9C 22 34 99 1F 30 99 1D 2B 9C 20 30 9D 23 37 9A 1A 30 96 0C 23 94 0E 1B 90 1C 1A 80 30 1D 54 40 16 3F 46 13 3E 42 12 3F 41 11 41 40 0D 44 42 0B 46 43 0C 43 44 0C 42 45 11 43 47 10 41 45 06 3A 40 05 3B 3C 07 3B 3C 0C 36 3D 10 39 3E 14 38 3B 12 34 37 12 30 32 11 31 2F 10 37 2A 13 33 21 0D 30 18 0D 35 0D 0E 3F 0D 0E 45 0C 0F 4D 08 10 54 08 11 59 08 12 60 07 12 65 08 12 67 08 13 6C 08 14 73 0E 18 78 16 21 78 15 22 78 10 1B 79 0D 18 78 0B 19 79 0B 1C 78 09 18 79 08 1C 78 06 1A 77 06 17 7C 05 16 7D 09 17 87 0F 1A 93 18 20 A0 24 2B B0 33 39 BC 42 3A C2 49 3E C4 4C 3D C8 51 40 C9 53 44 C7 53 44 C7 53 41 BA 50 36 A8 67 34 B0 89 4D 91 6D 16 8D 6E 19 9A 84 39 94 76 1F 86 69 0B 99 89 49 A3 91 4D 97 80 2C 96 80 2D 97 82 2D 98 7F 26 94 78 13 91 75 09 8E 73 0D 87 70 0F 8B 70 16 86 65 0C 88 5E 00 95 62 00 99 68 06 96 67 04 8E 5B 00 7F 4D 00 65 3F 02 4A 41 0A 3E 41 07 37 41 09 37 44 09 3C 45 07 3E 47 0B 41 4A 14 56 52 1F 66 50 22 5E 42 1C 47 37 12 43 42 15 3C 41 10 37 3C 0A 38 39 08 37 39 06 34 39 07 31 36 08 31 32 08 +71 75 1E 6E 74 1C 6D 70 1F 6D 71 1E 69 6F 1B 65 6F 1C 69 6F 1F 6C 6D 20 6C 70 22 67 72 21 68 70 21 6D 70 1E 6C 70 1D 6A 72 21 6A 73 24 6C 73 27 6A 72 2C 67 71 2F 68 72 28 69 73 27 6B 72 2A 6E 73 27 70 75 29 6A 73 25 68 74 27 5C 68 1E 53 5D 0A 51 5A 08 4B 5A 0F 47 58 0C 4D 57 08 52 59 0C 57 5B 0D 59 5D 0C 58 60 0A 5E 62 11 61 64 14 61 67 12 61 66 0D 61 65 04 60 63 04 5C 62 02 59 5E 01 5A 5F 00 5A 5D 00 58 5B 00 56 59 00 53 59 00 59 58 03 6E 41 1A 80 1B 21 8D 0B 23 91 0B 22 98 10 26 9E 1F 32 A2 2D 36 A1 2C 34 A0 2B 36 A4 2E 3F A5 2F 3C A3 2E 39 A3 2D 37 A3 2B 35 A6 2C 35 A6 2B 32 A5 2C 33 AB 35 37 AC 38 39 AE 42 43 AD 3E 41 AD 3D 40 A6 36 3C 9F 30 38 9F 32 3A 9B 2D 39 99 27 33 94 1D 2B 91 17 28 90 19 27 92 20 2C 94 22 32 97 22 38 99 24 37 98 25 35 9A 24 34 98 1F 2F 97 1E 30 99 1D 2B 9A 1E 2B 9C 26 34 9B 1F 32 98 12 29 93 0D 1D 92 18 17 8C 27 19 6C 32 14 48 42 11 3F 42 0F 44 42 11 43 42 0C 43 42 07 45 43 08 44 42 09 44 42 09 43 41 0A 3D 3D 08 37 3B 0B 37 3B 0C 39 3C 0D 39 3B 0D 38 3A 11 34 38 12 32 35 13 31 30 0F 31 2C 0C 32 25 0E 30 21 0D 2D 14 0C 33 0D 0E 42 0C 11 49 0A 10 51 08 11 57 08 15 5B 08 15 61 08 13 64 0A 11 69 0A 10 6F 09 13 75 0E 1B 7A 14 26 7A 0F 22 7C 0B 1F 7C 0B 1C 7D 0D 1B 7B 0B 1A 78 0A 17 78 08 19 81 0B 19 8F 14 1C A1 22 2A AE 31 34 BD 3D 38 C9 4A 46 D0 55 56 D4 59 51 D6 57 4B D5 50 48 D1 4D 44 CD 49 42 CB 47 41 CA 44 42 BF 45 33 A6 5A 29 B3 8E 56 9F 7B 2B 89 6C 10 9F 8C 49 95 7B 26 86 6C 0D 8B 81 37 99 8D 4E 9A 80 24 95 79 12 96 7E 24 96 81 2B 91 7A 1D 8E 75 16 8C 71 12 8A 6F 0A 8E 72 15 88 6A 0B 80 61 02 8B 66 08 8E 63 02 95 62 03 91 60 04 82 52 00 67 44 00 47 3D 02 37 3E 08 39 3D 03 39 3F 03 3A 42 0A 3C 45 0B 3E 44 0A 4D 47 16 6D 55 2F 6A 4C 28 53 3D 14 41 3B 0C 3E 3F 0B 3B 3A 08 3A 38 07 37 35 05 32 34 06 31 31 04 31 30 04 31 2E 05 +70 71 17 6C 73 1A 6C 71 1F 6E 70 1C 6C 6F 1C 6B 6E 21 6B 6F 1E 6A 6E 20 6B 6E 22 6C 70 20 6C 70 1E 6A 73 26 68 72 29 6D 72 27 6D 73 30 6B 73 2C 69 72 2A 68 72 2D 6B 72 2D 6D 73 2C 6B 78 2D 6B 76 2C 6E 75 2E 6E 73 26 6D 72 28 5F 69 1C 58 5E 0E 52 5B 0C 4D 59 0C 4D 59 0C 4C 58 06 51 57 0B 55 5B 0F 53 5D 0F 56 5E 12 5D 62 14 60 65 12 62 67 0E 60 64 0D 5C 63 07 59 62 06 59 60 08 55 5D 06 56 5C 04 57 5A 00 54 59 00 55 58 00 59 57 00 64 52 06 7A 2F 18 87 0E 1E 91 0A 20 96 0D 20 9C 1D 2F A0 29 39 A1 2E 39 A0 2C 35 A2 2D 35 A5 30 39 A3 30 3B A2 2F 37 A3 2C 30 A6 2B 30 A6 2B 31 A5 2C 36 AA 35 3F A6 30 37 A7 30 35 AC 3E 43 A6 37 39 A2 32 33 A0 31 37 A0 30 3B 9F 2C 3D 99 25 38 98 21 35 93 1C 2E 91 1A 29 91 1C 27 93 23 2E 95 26 35 98 24 37 99 23 39 99 22 35 97 1F 30 93 1B 2E 94 1D 30 94 1D 2B 97 1C 2A 97 26 35 99 27 38 97 1B 2F 94 0F 20 92 12 1B 90 1E 23 81 25 1E 58 3B 16 44 41 0E 44 40 0D 44 41 0C 44 43 0D 45 43 0C 43 41 09 42 3E 08 3F 3C 09 3B 3B 0B 39 39 0C 37 39 0A 36 39 0C 37 38 10 39 39 12 38 33 12 32 30 11 30 2F 0C 30 2B 06 2E 23 0B 2E 1F 0E 30 11 0E 37 0A 0D 45 0A 11 4F 08 11 53 0A 12 5A 09 12 61 07 13 62 08 14 67 09 16 6C 0A 15 72 0C 14 73 13 1C 77 13 23 7A 0E 20 7E 0D 20 7D 0C 14 7E 0D 14 7E 0D 19 88 13 1F 9B 1E 27 B2 2D 30 BE 35 36 C5 40 3D CB 4A 44 D3 52 49 D8 56 4E D8 57 50 D6 50 48 D5 44 3E D1 39 37 CD 31 30 C8 2A 2D C7 27 2F C4 29 25 A8 48 1A AB 85 4C AB 8B 4A 8B 6B 12 9A 86 3B 93 7C 20 7E 68 00 88 7B 29 A4 9C 66 95 82 33 95 76 0C 96 75 09 95 77 16 8E 74 16 87 70 0A 89 6E 07 8E 70 12 89 6E 0E 90 79 23 88 6D 14 7F 5F 00 8E 69 0A 8B 66 0B 8A 5F 01 86 57 00 78 4A 04 56 3C 06 3A 3A 06 34 3A 08 36 38 07 39 3C 07 3A 3E 07 3D 40 0A 48 44 10 71 58 2D 7A 58 34 5C 42 1B 47 39 0A 37 36 08 34 36 09 34 35 05 36 34 04 36 32 03 33 2F 04 30 2C 05 2F 2C 03 2F 29 07 +6A 70 12 69 71 1C 6B 71 20 6E 71 20 6D 6F 1C 6E 70 1E 6E 70 1D 6C 6F 1F 6C 70 22 6B 6F 22 6A 70 23 6A 72 23 6B 72 25 6F 72 27 71 73 2A 6F 73 2A 6D 73 2C 6D 76 31 6D 75 30 6F 74 2D 6C 76 2C 69 73 2A 69 70 28 66 6D 20 65 6C 21 61 68 1B 55 60 11 50 5A 13 4F 5A 09 4F 59 0A 4E 57 0A 53 58 09 56 5A 08 54 5B 08 54 5E 12 59 61 13 5E 66 13 5F 66 0E 61 63 0A 5F 61 07 5B 5F 04 58 5E 03 53 5B 02 53 5B 03 53 58 01 53 57 00 54 56 00 5B 53 02 73 42 0F 85 1A 17 90 0A 1C 95 0D 20 9B 1A 26 9F 29 37 9F 2C 3B A0 2D 37 A1 2C 35 A4 2B 37 A3 2C 3A A2 2D 3B A4 2D 39 A3 28 35 A5 2B 34 A3 27 2E A2 29 2D A9 37 3A A6 35 37 A0 29 2E A7 38 3D B3 4D 49 B0 4B 47 A7 3D 43 9D 2F 3F 99 28 3E 95 24 36 91 21 34 90 1E 2E 90 1C 28 93 20 2D 95 26 35 98 2B 37 99 29 30 95 23 2F 96 1F 2F 94 1A 2D 92 19 2D 94 1D 2D 93 1C 2E 95 19 2F 97 20 32 98 25 33 96 20 2D 93 12 1F 8E 0D 16 88 15 15 82 22 14 62 33 0F 46 40 0E 46 40 0A 41 3E 0D 3F 41 10 41 42 0C 3E 40 0A 3D 3C 0B 3C 3A 0C 37 38 0A 36 37 09 35 37 0B 33 36 0E 32 38 12 37 36 11 37 32 0E 32 2F 0A 37 30 0D 36 2C 0B 33 24 0C 32 1D 0D 32 0E 0D 37 09 10 42 0A 11 4E 08 12 55 08 12 5B 08 10 63 07 0E 65 08 0F 69 08 14 70 07 17 73 0E 19 75 16 1C 78 10 18 79 0B 17 7F 0B 1B 88 10 17 93 1A 1D A4 23 26 B5 2C 2F BF 33 34 C3 3B 39 CB 46 40 D4 51 42 D8 53 44 D5 4A 44 D3 3E 41 CE 34 32 CD 2D 2D CB 27 2E C8 24 2B C8 21 23 C8 20 23 C4 22 24 AD 38 17 A0 74 2E 9E 82 2D 92 6F 0E 8D 71 15 8C 74 20 83 6A 0E 8B 7A 2D 9B 8E 4E 97 86 39 94 78 1C 95 76 17 99 7A 10 AE 8C 33 94 76 0B 83 68 00 87 6D 07 92 75 1F 8E 73 1D 8F 76 1E 8C 74 1C 80 64 04 87 65 00 8B 65 07 83 5B 01 7D 4C 00 84 41 0F 8C 46 1C 77 42 13 61 3D 0A 4B 36 07 3F 38 09 3D 39 05 47 40 0B 87 6C 4B 9D 7B 58 79 5A 31 47 39 09 37 36 00 34 32 06 30 31 09 2E 2F 08 2F 2D 08 33 2E 08 33 2B 09 32 27 07 33 26 04 32 24 07 +6A 6E 12 6B 6D 12 6E 6E 18 6E 6F 21 6D 70 1E 6E 71 1C 6D 70 14 6E 71 1A 6F 73 23 6B 72 27 6A 70 23 69 71 23 69 73 24 6B 73 26 6F 72 26 71 75 21 6F 74 28 6E 75 31 6F 73 27 6D 73 1A 69 71 19 6D 6E 1A 6B 6D 1E 64 6C 1D 5F 66 13 59 62 0D 54 5D 09 56 5B 10 53 5A 0B 51 5C 0C 51 59 0D 50 59 0C 51 5A 07 52 5A 0A 57 5D 10 59 62 0D 5D 65 10 5D 64 0C 5B 63 0C 5A 5F 07 5A 5B 03 54 5C 04 50 5A 02 53 58 03 52 57 00 51 56 02 54 55 01 65 4D 0B 7D 2A 19 8E 0F 1C 97 14 23 9A 19 2B 9F 24 32 A0 2A 3B A2 2B 41 A5 29 3C A5 2B 3A A7 2B 3A A7 28 37 A4 2B 37 A3 2B 38 A3 27 35 9F 25 31 9E 28 2F 9D 21 28 A0 27 2D A5 34 39 A9 37 3D A5 34 37 B3 4E 49 B4 50 4D A8 39 44 9A 2D 3D 99 29 38 97 26 35 95 20 32 91 1C 30 8E 1E 2F 91 25 33 94 27 32 9A 37 3A 99 34 37 94 28 32 95 23 31 94 20 2C 94 22 33 95 20 32 93 1B 2A 92 19 28 95 1E 2F 95 22 34 94 1D 2C 92 11 20 8B 0C 19 87 12 17 86 1E 16 6B 2A 12 49 3C 14 42 3F 0F 41 3F 0D 41 3F 0B 41 3E 0B 3E 3C 0A 3C 3A 09 39 39 07 36 36 05 36 34 08 36 35 07 36 36 08 37 36 0D 37 33 0B 33 31 0B 34 2E 0C 3D 2E 10 3B 2A 0B 38 25 0E 31 1B 10 31 0D 0E 3B 0A 10 46 0A 10 4D 08 10 56 07 15 5D 08 15 62 09 12 66 08 17 6B 08 18 6C 06 18 72 0D 1E 7F 13 1E 88 12 16 91 17 1A A0 1F 24 AE 26 2B BA 2F 32 C0 34 34 C9 3A 38 D0 44 43 D3 4D 46 D7 53 4D DA 4F 4D D7 45 45 D0 37 39 CC 2D 31 CA 24 28 CB 22 25 CA 21 25 C9 20 24 C6 21 24 CA 1F 25 B5 33 1D A3 70 43 9A 85 44 81 67 0D 84 6D 11 83 70 13 83 76 24 94 86 43 9F 8E 47 96 80 26 8F 75 05 92 77 0E 92 73 11 B7 98 3F D5 B4 6B 92 6B 05 8B 6B 05 92 79 22 96 80 36 8C 72 1B 92 75 16 91 74 1E 85 67 0B 80 5E 00 81 5D 03 7C 53 00 7E 3E 02 A0 3B 14 AE 3C 17 AF 40 17 AC 49 12 9D 48 0F 90 44 0D 81 43 11 7C 53 2A 75 51 2E 8C 66 45 68 43 19 45 30 07 3E 31 07 3D 2E 04 36 2C 06 30 2A 0C 31 28 0B 2E 29 0B 2D 28 0B 2E 25 09 2F 21 0A 2F 20 05 +6A 6E 15 6D 6D 12 71 6E 1A 6E 6E 22 6C 70 20 6D 71 1E 6B 71 20 6D 73 25 6E 73 25 6E 71 22 6D 70 21 6B 71 1F 6B 71 1C 6F 70 1F 70 72 21 6D 73 1F 69 71 25 68 72 24 6A 71 1A 69 70 19 65 6F 1B 6A 6B 15 68 69 16 63 6A 1E 5E 62 13 5A 5D 09 5B 5B 0F 56 5B 10 52 5C 0C 55 5B 0E 54 5C 08 51 59 05 52 5A 03 52 5B 09 51 5E 0F 5A 62 10 5F 63 08 61 63 0B 5D 60 0D 57 5D 07 57 58 04 54 57 03 4F 58 01 4E 56 03 50 56 02 52 56 06 5B 53 08 74 3A 15 89 14 1D 94 0A 1D 99 14 24 9E 21 31 9F 29 33 A1 2C 3A A2 2B 3A A6 2A 35 A5 2B 38 A7 2C 3F A5 2C 35 A4 2D 2E A4 2D 2E A9 2F 34 A2 29 2D A1 28 2D A1 26 2D A2 2F 33 A1 2C 2E A9 37 3A AF 40 44 AF 49 49 AD 4A 45 A1 30 36 9B 29 36 9A 27 36 98 27 36 95 20 30 92 1E 2E 93 24 35 94 29 38 97 2B 35 95 30 37 96 2E 3C 96 2D 3A 94 29 36 96 28 31 97 27 35 93 21 33 90 19 2E 90 18 2D 90 1C 2C 94 21 32 92 1B 2B 90 0F 15 8D 0A 19 8A 0C 1E 8B 11 19 77 23 15 4E 37 11 40 3E 0A 42 3C 0A 41 3C 09 3F 3B 07 3D 39 04 3A 39 0A 35 35 08 34 33 03 35 33 02 34 31 05 35 31 08 37 33 09 34 31 06 2F 2F 07 2F 2C 0B 34 29 0D 34 27 0D 33 22 0D 30 19 0C 32 0B 0A 3D 09 0F 49 09 12 50 08 13 58 06 15 5D 06 13 61 06 13 67 05 16 71 09 18 7D 13 1C 91 20 25 A2 25 27 B3 2D 2E BE 31 31 C5 35 39 CD 38 3B CF 36 35 D2 36 38 D4 38 34 D5 38 36 D4 36 32 D2 31 31 CE 2B 34 CE 28 31 CC 26 27 CB 22 22 CB 22 1F CB 21 1D CA 20 1D CB 22 1E C9 21 20 C0 2B 15 A3 58 20 9B 84 4C 80 6A 22 7B 64 10 81 6F 15 84 76 1C 94 91 52 9F 97 5E 90 7C 20 90 76 11 8A 76 0D 92 76 0C 94 75 09 B7 9B 4A BE 9F 4E 8C 66 02 92 76 1D 92 7E 2F 8C 77 19 8C 6F 01 95 74 12 8F 71 17 82 63 0F 7A 57 04 7D 51 00 8A 49 01 AE 4E 05 CF 62 06 DB 6F 03 E3 76 03 E6 80 01 E9 86 02 EC 87 03 E9 88 06 D9 85 0B B4 6A 02 BA 6F 0C B1 60 08 9C 4C 06 8A 3E 07 7D 34 0A 6D 2D 09 60 29 0C 52 25 09 42 23 09 38 21 07 2F 20 05 2F 1E 08 39 1E 04 +67 6C 18 69 6E 16 6A 6E 18 69 6D 1A 6A 6F 1B 6E 6E 1B 6D 72 20 6B 73 24 6B 72 25 6A 70 23 6D 70 23 6D 70 1D 6C 6F 17 6C 6F 21 6C 6E 27 6B 6E 20 66 71 21 64 6E 1C 67 6C 1A 68 6D 1A 67 6E 16 64 6B 1A 65 69 17 60 68 16 59 62 11 58 5D 0C 56 5C 0E 50 5D 0D 4E 5C 0B 55 5D 0B 52 5C 08 51 5A 0C 58 5A 0E 55 5C 09 52 5D 0C 5C 60 13 5E 61 0D 5F 62 11 5D 5F 0E 5A 5C 03 55 59 01 4F 57 01 4E 57 00 49 56 03 4A 55 03 50 55 06 67 47 11 86 1C 1B 93 0E 23 98 10 24 9E 1A 2C 9E 22 2F 9F 27 33 A4 29 3B A5 29 3A A6 2A 32 A7 2B 32 A6 2B 39 A4 2B 35 A8 2F 34 A9 36 35 AC 37 36 A9 35 34 9F 29 31 9E 22 2E A5 2F 36 A5 34 39 A2 30 34 A4 34 36 AA 3F 3E AA 43 3F 9B 30 37 98 2A 38 96 27 36 94 22 35 93 21 30 92 23 2E 95 29 36 98 2D 3E 99 2D 3B 99 2D 3A 99 2D 3F 97 2C 3E 96 29 39 94 27 39 93 27 3B 92 24 36 91 1C 31 93 1B 2F 94 1C 2E 92 1E 2F 91 12 23 8F 0B 14 8D 0A 18 8B 0A 1B 8A 0A 16 7F 18 15 53 34 14 41 3D 0E 44 37 0C 40 39 08 3E 3A 04 3C 38 03 38 34 09 33 31 0C 2F 31 09 31 31 06 30 30 05 32 31 08 35 31 0B 34 2D 0F 31 2C 0D 30 2B 0C 30 28 0E 30 23 10 2F 1F 0F 2D 17 0E 31 0B 0D 3A 09 12 45 08 16 4C 06 15 58 07 14 64 09 16 76 11 18 8D 1A 20 A4 26 2E B7 31 33 C3 35 37 CC 36 38 CF 33 38 D1 32 39 CF 2C 35 CE 27 2C CE 26 24 CE 24 20 CF 24 20 CF 24 23 CF 22 27 CF 20 29 CE 21 26 CD 23 25 CD 24 25 CD 22 26 CD 23 22 CC 22 20 CC 21 25 CC 22 22 C6 2B 1B AB 4F 1C 95 71 2A 81 67 12 80 68 0E 89 74 1E 84 74 19 8D 81 39 A0 96 5A 97 81 29 8F 78 11 88 76 18 87 73 18 8F 75 11 97 7A 14 9F 85 2A 9D 7E 1D 90 70 0D 92 76 23 8B 6E 12 8B 67 00 93 71 0C 90 72 13 8A 69 0E 8B 5C 06 A4 65 03 CB 7E 06 E7 94 07 FC A7 0A FF AE 03 FF B2 00 FF B3 00 FF B2 00 FF B2 00 FF B3 00 FF B2 00 FF B1 00 FF B3 02 FF B0 00 FF AD 00 FC A5 00 EF 95 00 DF 80 08 CB 65 03 B4 4B 05 A1 39 0B 93 2C 10 7E 24 12 68 1E 0C 5A 1A 06 52 1E 07 +69 6C 0C 6B 6C 16 69 6B 17 69 6A 16 6C 6C 18 6D 6C 1A 6D 6E 1F 6C 70 1C 6D 70 1C 6E 6E 1F 6A 6F 22 6A 6E 21 69 6E 1E 65 6E 25 67 6F 24 6B 70 1F 64 6E 1F 61 6D 1B 62 69 18 66 6B 19 66 6C 12 62 69 12 64 68 14 5F 66 13 58 5F 0C 57 5A 07 53 5C 09 50 5B 0C 51 5B 12 53 5D 14 52 5A 0C 54 58 09 53 5A 09 54 5A 09 59 5C 0C 58 5F 0C 57 5F 10 5A 5F 0C 5B 5E 09 57 5C 03 52 58 03 4D 56 01 4F 54 00 4D 53 00 4B 53 00 62 4B 07 80 28 18 95 0C 1F 9B 15 28 99 1C 29 9D 21 30 9D 23 32 A2 28 38 A2 2A 3B A5 2B 3C A5 29 34 A4 28 31 A5 2C 35 A5 28 32 A5 2A 33 A6 34 38 A4 31 35 A9 38 3B A7 37 38 9E 25 28 9E 24 29 A7 38 39 AD 43 43 AA 40 41 9B 2D 30 9D 2F 39 99 2D 39 97 29 39 95 26 37 94 21 37 95 26 3A 96 28 3C 9A 2C 3F 9E 30 3E 9C 2F 3C 9A 2D 3D 98 2E 42 97 2D 3F 97 2B 3B 95 24 35 93 22 32 90 20 2E 90 1E 29 92 1D 29 93 1E 2D 92 1B 29 8E 11 19 90 0C 1B 8D 0B 19 8C 08 15 8B 0B 13 7E 17 12 56 31 11 3E 3B 0A 3F 39 0E 3A 37 0D 37 34 06 3B 33 06 39 31 0A 35 2F 0A 34 2D 09 31 2C 0B 2F 2D 0D 30 2E 0C 31 2D 09 31 2A 0A 2F 2B 0D 2F 2C 10 2D 28 0D 2E 21 10 2F 1D 0F 2C 12 0E 33 06 12 42 07 14 5B 0C 14 77 16 1A 93 22 28 A8 28 2F BB 2C 31 C7 2C 2F CE 32 34 D2 35 37 D3 35 37 D3 30 33 D1 29 2E D4 2B 33 D3 27 28 D4 27 26 D2 24 27 D4 26 25 D3 26 24 D2 25 26 D3 26 2B D3 25 29 D2 26 21 D2 27 1F D0 25 23 D0 23 25 D0 23 28 CE 21 28 CD 1F 26 C9 25 1C B2 50 1E 9F 7A 32 83 6A 17 7C 6A 07 89 73 13 88 76 17 8D 83 34 9F 92 47 9A 81 1A 97 7A 0B 8D 76 0F 8A 74 14 8F 75 18 92 75 20 93 77 1E 94 77 13 92 73 09 90 71 0F 89 6C 0F 85 65 02 8E 6A 08 99 72 15 A0 71 09 BD 7F 0D E3 9B 16 FC AE 14 FF B4 04 FF B3 01 FF B1 00 FF B2 00 FF B2 00 FF B3 00 FF B3 00 FF B3 00 FF B5 00 FF B7 00 FF B7 00 FF B8 00 FF B6 00 FF B7 00 FF B7 00 FF B9 00 FF B9 01 FF B5 00 FC AB 00 F0 98 00 E4 82 02 D8 70 03 CA 60 02 BF 56 02 AE 55 04 +6B 69 0C 67 6B 14 68 69 12 68 66 13 6A 69 19 6A 6B 1E 69 6B 1D 6A 6C 1D 68 6B 1C 6A 6D 1E 6B 6E 1C 68 6B 1B 67 6D 1C 67 6E 1C 67 6D 1B 69 6B 19 67 6A 13 65 69 15 65 69 12 63 69 0F 60 67 13 5E 65 0F 5D 65 13 5B 63 0D 55 5B 03 56 59 04 55 5B 04 52 5B 06 54 5B 0E 5A 5D 0D 59 5C 0B 51 59 07 54 58 0C 56 5B 0E 59 5D 0C 59 5E 0A 59 5F 11 58 5E 10 56 5E 08 53 59 04 4F 56 06 4B 54 02 4A 52 00 4B 52 00 5B 4C 09 7D 30 16 91 12 1A 9A 15 23 9C 1C 26 9A 1D 2C 9B 21 32 9E 2B 35 9F 2D 36 A0 2A 39 A2 2A 3A A4 29 36 A5 2B 31 A6 32 2E A3 2A 2F 9F 22 2E 9F 26 2E 9E 28 30 A3 2F 34 A9 3C 39 A2 2E 2D 9D 26 2D A1 29 2E A6 36 35 A6 39 39 9A 28 2F 96 22 2A 97 26 2C 96 25 2F 96 23 33 95 23 33 97 29 38 9A 2D 3E 9E 30 40 A0 30 3B 9B 2E 3A 96 2C 3B 97 2E 3C 97 2D 39 95 2C 34 92 24 34 93 1E 30 90 1E 2B 92 1C 28 93 1C 2B 92 1D 27 8F 19 1F 8C 0F 18 8E 0D 1B 8D 0C 19 8E 07 18 8D 09 17 80 1B 17 54 2F 11 3D 37 10 39 36 13 39 34 0A 36 31 04 38 31 04 35 30 05 33 2E 09 32 2C 0A 30 2A 0A 2F 29 0A 32 29 0B 36 28 0B 3A 27 0A 3F 29 0C 45 28 0C 47 24 0A 50 20 0D 5C 1E 10 64 17 11 77 16 18 8F 1F 22 AC 28 2A C2 28 2D CC 28 2E CF 2A 2F D2 29 31 D1 24 2E D2 25 24 D1 25 28 D2 25 2B D3 25 28 D3 27 25 D5 29 2A D7 2C 31 D9 33 36 D6 2B 2D D4 28 2A D5 25 27 D5 25 25 D7 29 2A D8 2A 2D D6 29 2B D6 2A 28 D3 28 23 D3 25 26 D1 25 2B CF 22 29 CC 22 23 B7 45 1C A6 7B 30 88 6D 17 80 6A 0F 87 74 13 87 75 10 8A 7C 2A 9C 8B 41 99 83 21 94 7A 09 94 76 09 90 74 06 90 74 0B 94 74 13 94 77 1E 95 75 15 97 74 08 9C 79 14 94 75 14 85 64 00 8B 63 00 A3 72 04 CA 8B 0D EE A6 1F FF BB 23 FF BA 0A FF B4 02 FF B3 00 FF B3 00 FF B4 00 FF B5 00 FF B5 00 FF B7 00 FF B7 00 FF B8 00 FF B9 00 FF B8 00 FF B9 00 FF BA 00 FF BB 00 FF BB 00 FF BC 00 FF BE 00 FF BD 00 FF BD 00 FF BE 00 FF BF 00 FF BE 00 FF BE 00 FF BD 00 FF BB 00 FF B9 00 +69 67 11 66 69 13 67 69 11 69 68 0B 69 69 0F 68 6A 1A 6B 69 1B 68 68 14 66 68 0F 67 68 10 6D 69 10 68 6A 11 66 6B 11 68 6C 10 65 6A 0E 66 69 14 66 69 11 61 67 12 5E 65 0D 61 66 05 61 65 0D 5C 61 0E 58 61 0A 55 5F 0C 50 59 07 52 57 03 54 5A 0B 4F 59 09 51 5A 05 57 5C 0A 57 5D 10 4F 5B 0A 52 58 0B 55 5C 0C 56 5E 0D 57 5E 0E 57 5D 14 56 5D 13 53 5C 07 4F 58 01 4E 55 01 4C 53 01 4B 52 00 56 4D 07 78 35 1B 91 12 20 9D 14 26 A3 25 36 9E 1B 2A 9F 21 2F A1 26 33 A2 2C 37 A2 2D 39 A4 29 39 A4 28 37 A5 2C 36 AA 36 39 A8 39 38 A0 2B 30 99 22 2B 9A 20 25 9E 22 29 9E 25 2C A0 2C 31 A2 2D 31 9E 2C 2E 9D 28 2D 99 22 2B 9A 23 2F 9C 28 34 9A 26 33 97 26 2F 95 24 2E 96 24 31 95 26 34 97 2B 37 9B 2F 3A 9E 30 3C 9F 2E 3E 9C 2A 3E 99 29 3B 98 2C 38 97 2B 37 93 27 30 91 20 31 8F 1C 2E 8E 18 28 90 1A 26 92 1A 29 92 1A 21 8E 15 16 8C 0E 16 8E 0A 18 8E 08 17 8C 07 14 8B 08 1C 7D 16 19 52 2D 0B 3A 34 06 34 32 09 34 30 06 33 30 09 2F 2E 08 2D 2D 09 35 2A 0B 42 28 09 52 28 07 62 28 08 70 25 0B 78 27 13 84 27 14 8E 26 16 95 24 13 99 28 16 A2 2A 1B B0 29 24 BA 2A 2C C5 2B 31 CB 2A 30 CF 27 2F D1 23 2C D0 23 2A D2 25 2A D4 26 29 D6 27 2D D6 27 28 D5 27 27 D6 27 2F D7 28 2E D5 28 25 D8 2A 2F D8 2C 35 DB 38 3C D9 32 31 D6 28 2B D8 28 30 D7 29 30 D7 2A 2C D7 2C 29 D6 2A 2A D5 2A 2B D4 2B 29 D3 2A 26 D1 29 23 D0 25 26 BD 40 1F AC 78 38 8C 70 11 81 6C 09 91 84 36 93 84 2C 89 7B 12 96 88 31 97 82 22 94 7B 11 92 7A 10 93 77 05 98 74 04 97 75 0C 94 75 0D 92 76 13 98 77 0F 9D 73 0B A6 81 2A 9F 78 20 95 5F 00 C0 80 09 EB A5 1C FF B9 24 FF BC 15 FF B5 02 FF B3 00 FF B4 00 FF B5 00 FF B5 00 FF B7 00 FF B8 00 FF B8 00 FF B9 00 FF BB 00 FF BC 00 FF BD 00 FF BC 00 FF BC 00 FF BC 00 FF BE 00 FF C0 00 FF C1 00 FF C1 00 FF C3 00 FF C2 00 FF C1 00 FF C1 00 FF C2 00 FF C2 00 FF C2 00 FF C1 00 FF C0 00 +68 67 08 67 65 10 69 68 0B 69 69 0A 66 69 10 65 6B 12 67 68 12 66 68 0D 65 66 08 66 64 07 66 65 05 64 68 0C 65 69 0B 6A 6A 07 67 6A 0F 67 67 17 65 68 0E 60 63 0D 5D 60 0C 5F 61 0C 5B 5F 0C 56 5C 0A 58 5D 05 55 5C 08 50 57 0A 4F 56 0B 50 57 0A 51 56 03 52 58 05 51 59 0D 52 5B 11 50 5D 0C 4E 5A 09 51 5C 0D 54 5E 10 55 5D 11 55 5B 10 55 5A 0F 52 59 0B 50 56 02 50 53 05 4A 51 01 51 4D 04 75 38 14 8F 19 1D 9A 12 27 9F 22 31 A6 2D 3B A6 26 36 A3 27 32 A1 29 3A A1 2B 3E A1 2B 3C A4 29 37 A6 2C 37 AD 38 3B A8 2D 32 A5 30 39 9B 27 31 9A 25 2D 9C 26 2D A0 29 31 9D 24 2E 9B 20 2A 9C 24 29 A1 2C 2E A5 36 39 99 2A 2E 95 1F 27 95 1F 2B 9B 2A 37 9A 2C 38 97 2A 35 98 29 36 96 2A 39 96 2D 39 98 30 3B 9D 30 3D 9B 2D 3B 96 27 38 97 28 38 99 2B 37 95 28 38 93 22 32 90 1A 2E 8E 18 25 91 16 20 92 18 22 94 1A 19 91 17 12 8D 10 11 8E 0A 14 8E 0A 16 8C 09 11 8A 09 11 89 0D 16 75 16 13 46 28 07 34 2E 04 36 2B 08 3A 2B 0A 43 2A 07 4E 2A 0A 63 28 0F 7C 29 14 91 29 16 9D 28 18 A5 27 14 AC 27 14 AE 26 1B AF 29 1D B1 2A 15 B5 2A 15 BE 2C 22 C4 2A 29 CA 28 2F CF 24 2E D0 24 2A D4 29 30 D3 26 2E D7 2B 31 D9 31 32 DA 32 34 DE 34 39 E0 36 40 DE 33 3F DC 30 3C DB 2F 37 D8 2B 35 D9 2C 32 DA 31 39 DA 31 37 DB 32 34 DE 35 36 DC 31 32 D9 2D 31 D7 2C 2E D7 2C 2A D5 2A 23 D6 2A 29 D4 28 31 D3 2A 34 D2 29 30 D0 26 2B C5 31 1A B1 71 3E 9A 80 3C 83 6B 08 83 72 0E 94 8E 3E 92 8B 31 8C 79 12 9A 83 22 98 81 16 96 7E 19 92 78 14 96 77 11 99 77 10 9C 77 11 9A 75 10 96 77 14 9B 7A 1E 9F 76 1C A0 6C 11 B5 70 08 E3 95 11 FF B5 18 FF B7 0F FF B3 02 FF B2 00 FF B3 00 FF B4 00 FF B5 00 FF B6 00 FF B7 00 FF B9 00 FF BA 00 FF BB 00 FF BD 00 FF BD 00 FF BE 00 FF C0 00 FF C0 00 FF C0 00 FF C2 00 FF C4 00 FF C7 00 FF C6 00 FF C5 00 FF C5 00 FF C6 00 FF C5 00 FF C6 00 FF C6 00 FF C5 00 FF C5 00 FF C3 00 FF C3 00 +67 65 08 65 65 0A 66 66 09 66 67 0B 66 68 0E 66 69 05 68 68 06 6C 66 0C 69 64 0F 63 65 10 62 65 0D 65 65 0C 65 67 09 65 6A 09 65 67 10 62 64 15 60 65 06 5E 62 01 5E 5F 06 58 5F 0B 54 5B 09 54 58 02 57 58 01 54 57 00 50 55 03 4D 55 07 50 54 06 50 55 08 4F 56 09 50 59 0C 53 58 08 55 5A 0D 52 58 0F 51 5A 0F 55 5D 0D 54 5B 0E 51 58 0D 53 5B 0B 50 59 08 51 55 05 4C 54 04 58 4B 04 73 36 14 92 17 25 9B 12 27 9E 1F 32 9E 1E 2F A1 2D 39 A9 35 3F A6 2E 36 A5 2B 39 A2 2D 3F A1 2D 3E A0 29 33 AA 35 33 BE 57 4E A4 2F 32 9D 20 31 9D 23 34 9F 2A 2F 9E 2D 2B A6 39 37 A4 37 38 9E 2B 2F 9E 27 2C 9E 26 2C AB 3F 41 A1 3B 3B 9D 2C 32 96 1F 2B 95 23 30 99 2A 3A 99 2B 3A 9B 2D 39 99 2E 39 99 2D 3A 99 2E 3B 9A 2E 3C 96 28 39 94 23 35 96 27 39 96 2C 3E 92 24 37 90 1C 2F 8F 14 28 90 14 21 94 17 1A 96 17 18 95 16 12 8F 10 15 8D 0C 15 8E 09 19 8A 09 1D 89 06 1A 88 09 18 88 0F 15 79 1C 13 69 29 11 6E 2B 11 7E 2D 15 8B 2E 18 96 2C 1B A1 2D 17 AA 2C 18 AC 2D 1C AF 2C 20 B0 2C 20 B4 2B 1B B6 2B 1B B7 2C 21 BB 2C 25 C0 2C 21 C7 2A 24 CB 28 2B CC 24 2E CE 22 30 D2 23 2E D4 28 28 D8 2B 2C DC 33 37 E0 39 46 E6 42 54 E9 48 5A EB 4F 5B ED 50 5D ED 4C 60 ED 4B 5D EA 46 58 E4 3D 4F DF 38 40 DF 3A 3B DE 37 33 DD 33 30 DE 35 3A E0 38 3D DC 32 36 D8 2D 2F D7 2B 2A D5 2A 24 D5 28 21 D2 25 24 D2 26 2A D2 26 31 CC 2A 2B AF 4E 1D A0 7F 45 95 7D 38 89 75 14 80 70 0D 8C 81 29 8E 7F 1C 9D 87 2E A2 8B 2D 9A 7D 0C 9C 7B 0D 99 76 06 98 74 07 99 78 0F 9D 79 0F A0 77 0D 9E 78 14 9B 74 18 A6 6F 0D D4 84 08 FA A8 10 FF B4 0B FF B2 01 FF B1 00 FF B3 00 FF B2 00 FF B2 00 FF B3 00 FF B6 00 FF B9 00 FF BA 00 FF BA 00 FF BB 00 FF BC 00 FF BE 00 FF C1 00 FF C3 00 FF C3 00 FF C6 00 FF CA 04 FF CB 02 FF C9 00 FF CA 00 FF CB 00 FF C9 00 FF CA 00 FF CD 00 FF CD 00 FF CB 00 FF CA 00 FF CA 00 FF CB 00 FF CC 01 FF CF 02 +66 65 09 65 65 09 66 66 0B 68 65 0C 69 65 0A 68 66 06 67 66 09 69 65 10 68 67 0C 62 67 09 60 65 07 63 65 0B 65 68 10 63 69 11 60 66 11 5E 63 13 5C 63 0B 59 60 09 58 5D 07 56 5C 04 53 59 01 52 58 00 52 57 00 50 53 00 4D 50 00 4B 52 04 4E 53 04 51 54 07 51 52 08 53 56 09 54 58 0B 55 57 10 54 58 0D 51 59 0F 54 5C 0E 59 5A 0D 56 56 09 54 58 08 52 57 03 51 56 00 5B 4D 0B 78 34 1A 8F 17 1F 98 0E 1F 9F 1B 2C 9F 25 37 9E 21 2E A2 2A 2F A4 2D 38 A2 29 37 A3 25 33 A1 2D 35 A3 2B 33 A2 26 2E AB 3A 36 B4 50 49 A2 30 2F 9A 22 25 9C 26 2D 9E 2C 30 A1 2F 2E AA 3C 3B B0 48 45 A8 3C 3A A1 31 36 9F 2A 2D AD 40 43 A7 41 47 9E 32 38 9D 2C 3A 96 24 35 95 26 34 96 2A 36 98 2E 39 9A 2F 39 9A 2D 3A 98 2B 39 95 2A 35 92 24 32 92 24 36 94 28 3B 92 27 36 90 1E 30 8E 15 26 8E 12 1D 91 16 1A 93 19 14 93 17 13 90 13 0F 8D 0D 14 8B 0A 18 8A 09 17 8A 07 18 8B 06 19 89 09 14 8B 0F 12 9A 27 1C A6 32 1D A8 2F 1B AC 2D 1C B1 2C 1F B1 29 1D B3 2A 19 B5 2E 1A B9 2C 21 BE 29 22 C1 29 24 C6 27 26 C8 2A 27 CE 2A 23 CF 26 23 D0 25 2D D1 23 31 D3 23 2E D3 24 2D D6 26 2D D7 27 30 D9 2C 31 DD 33 35 E5 3E 48 E9 47 57 F0 52 63 F3 55 66 F0 56 63 ED 52 61 F0 53 62 F5 55 67 F3 57 69 F3 58 63 F2 53 61 E9 46 53 DE 35 3C DE 31 38 DD 34 40 DD 35 40 DE 34 3B DA 2F 35 D7 2E 2C D5 2B 2D D2 26 29 D1 26 26 CF 25 25 CD 26 25 B9 3A 17 98 62 19 9A 84 3E A1 8B 41 86 71 0C 84 70 10 92 81 2B A3 8D 34 B3 96 32 A5 82 15 9B 79 0B 98 76 08 99 78 0E 9B 78 17 99 76 12 9D 7E 21 A0 7C 23 A0 72 10 B9 75 03 E9 96 08 FF AB 0A FF AD 02 FF AF 00 FF B0 00 FF B2 00 FF B2 00 FF B2 00 FF B4 00 FF B5 00 FF B7 00 FF BC 00 FF BC 00 FF BD 00 FF BE 00 FF C2 00 FF C4 00 FF C6 00 FF C9 00 FF CE 00 FF CC 00 FF CC 01 FF D1 02 FF CD 00 FF C9 00 FF CB 00 FF CD 00 FF CD 00 FF D1 02 FF D2 06 FF D0 03 FF D1 0C FF D2 1A FF D4 1E FF D6 20 FF D8 1E +66 67 07 66 65 0E 66 65 12 67 64 11 66 64 0E 62 64 0B 65 66 08 66 66 0A 64 65 03 64 64 04 60 63 04 63 66 0D 65 69 15 63 68 15 62 66 10 5E 65 0A 5D 61 0E 5D 5C 0A 58 5A 04 53 59 00 4F 56 00 4A 52 00 4A 51 00 4C 52 00 49 4F 01 49 51 07 4B 52 04 4D 51 03 50 51 04 51 54 03 51 55 04 55 55 09 53 56 04 4E 5A 07 4F 5A 0E 54 5B 0E 57 5A 0D 53 57 0E 51 55 0E 5F 4D 13 7C 31 1C 92 16 21 9B 11 21 9E 1B 28 9E 2A 35 9F 2A 37 9F 28 35 A0 2A 34 A2 2B 38 A4 28 32 A2 25 2E A1 2A 30 A2 26 2D A4 27 2E B1 40 44 AF 42 49 A7 3B 40 A0 30 30 99 28 2B 9B 2C 33 A3 2E 32 A9 39 3C B1 44 43 AF 44 3F AB 43 43 A1 33 35 A2 33 37 A3 38 42 9E 32 37 9C 32 36 99 2F 38 97 2C 36 97 2D 37 99 2E 3A 99 2F 38 95 2B 38 91 25 34 92 23 2F 8F 21 31 90 25 35 90 26 33 8F 22 2B 8E 1A 26 8E 14 1C 90 14 14 91 16 14 91 15 16 8D 12 17 8B 11 13 8A 0C 16 8B 09 19 8D 07 14 8D 05 13 8A 06 18 88 07 1B 93 13 1A A9 2D 26 AF 30 24 AF 2F 21 B2 2D 1C B4 2B 1A B6 2D 1F BE 2E 24 C6 2A 26 CB 23 2C D0 24 2C D1 23 29 D3 21 2E D5 27 2E D6 28 26 D7 24 2A D8 25 32 DB 29 3C DC 2E 40 DE 31 40 E0 36 3D E1 37 42 E3 38 45 E7 3F 4D EA 49 58 EF 52 62 F3 55 68 F1 51 62 EE 51 5C EF 51 5D EE 4D 5E EF 4F 64 F1 54 67 F5 5C 69 F9 61 74 F1 59 6B E5 43 53 E1 3A 45 E2 3C 44 E4 42 4C E2 40 4B DE 39 49 DB 34 41 D9 33 3C D6 2F 32 D1 28 29 CE 23 2A C5 2F 24 A7 59 1D 9C 7C 24 A0 82 24 90 75 0D 86 71 09 97 81 26 AB 91 38 C1 A3 43 A7 85 0C 9D 79 02 9A 7A 09 99 76 09 9B 76 08 9D 78 0D 9D 7A 0D A0 7B 11 A7 77 0F CC 7E 00 F9 9D 03 FF A9 01 FF A8 00 FF AC 00 FF AF 00 FF B1 00 FF B2 00 FF B2 00 FF B4 00 FF B6 00 FF B8 00 FF B9 00 FF BB 00 FF BD 00 FF C1 00 FF C5 00 FF C8 00 FF C9 00 FF C8 00 FF CA 00 FF CD 00 FF CC 00 FF CA 00 FF CE 00 FF CD 00 FF CC 00 FF CD 00 FF CF 00 FF D1 01 FF D2 08 FF D3 0A FF D3 0F FF D5 24 FF D8 32 FF D9 36 FF DA 3C FF DB 40 +65 64 07 67 65 0D 69 65 0E 68 63 0C 67 64 0A 65 63 06 64 66 07 63 67 0C 62 66 07 64 62 07 65 62 0B 63 66 0F 63 67 16 64 67 12 62 65 0D 5C 65 12 5D 5E 0E 5C 5A 03 54 59 00 4E 59 00 50 51 00 4D 51 00 4A 52 00 4A 4F 02 49 4C 01 4B 4E 02 4B 51 05 4B 50 06 4C 51 01 4C 51 03 50 53 07 53 54 08 52 55 06 50 58 0F 4F 59 13 52 5A 12 55 5A 0E 56 56 13 6A 49 1C 85 28 1F 96 13 24 9B 15 27 A0 23 2D A2 2C 3A 9F 2D 3C A0 2C 39 A0 29 3B 9D 2A 3D 9E 2C 3C A1 2C 36 A1 2A 34 9F 25 31 9F 2C 33 A5 34 37 B1 42 43 B2 4B 4A AF 4B 49 AA 44 43 9B 2C 31 9D 2E 37 A4 31 37 A5 36 3B AE 41 45 B5 4C 4A B6 51 49 B0 4A 40 9E 34 30 98 29 35 98 27 34 9A 29 34 9A 30 3A 9C 33 3A A0 39 3A 9D 35 3D 98 2C 3C 92 26 36 8F 23 33 8F 22 33 8F 20 35 8E 23 35 8F 22 31 8D 1C 29 8C 14 1E 8F 14 15 91 15 12 90 16 14 8E 11 17 8D 0F 13 8C 0E 14 89 0B 18 8A 09 15 8A 08 15 89 06 15 88 05 16 8A 07 19 9C 1E 24 AF 31 27 B2 2F 27 B6 2D 26 BC 2E 22 C2 2E 23 C7 26 26 CC 21 2D D0 1F 2C D2 20 2D D7 25 33 D9 29 32 DE 31 3E E0 35 44 E0 35 40 E1 37 44 E7 3D 4E EA 45 59 EE 4F 62 EF 51 66 F0 53 66 F2 55 65 F3 54 63 F2 53 65 F2 56 69 F2 57 69 F1 54 64 EE 50 5A EC 4B 55 EB 49 56 EE 4B 5B F0 4D 5E F2 51 65 F2 56 6D F2 5B 73 F2 5F 75 EF 58 6C E7 47 51 E5 44 4B E9 4D 5C E8 52 64 E6 4E 61 E2 43 52 E0 3E 4C D8 35 44 D5 2D 3B CC 2B 2A B3 4E 28 A3 79 33 96 76 19 8C 6F 0A 8A 73 0B 9B 83 1F B3 93 2C E5 C0 75 C5 9E 38 9C 79 00 9E 7C 0A 9D 78 08 A0 76 00 A9 75 00 A9 78 05 A1 76 08 A8 74 00 D8 89 00 FF A2 01 FF A7 00 FF AA 00 FF AC 00 FF AE 00 FF B0 00 FF B1 00 FF B1 00 FF B3 00 FF B6 00 FF B9 00 FF B9 00 FF B9 00 FF BB 00 FF BE 00 FF C1 00 FF C5 00 FF C8 00 FF C9 00 FF C9 00 FF CA 00 FF CB 00 FF CB 00 FF CA 00 FF CA 00 FF CA 00 FF CE 00 FF CD 00 FF CE 00 FF CF 01 FF D1 0C FF D2 16 FF D4 2A FF D8 3F FF DC 4B FF E0 4C FF E1 55 FF E3 62 +64 63 07 65 64 07 65 63 09 68 63 0A 69 63 0C 66 62 0E 65 64 0C 63 65 0F 60 64 0B 62 62 0A 66 64 0A 67 66 0B 66 66 10 66 65 0E 63 61 0D 5C 61 0A 5B 5E 08 58 5A 06 56 56 04 4F 56 00 4A 51 02 4A 50 03 4B 50 00 49 4D 02 48 4C 02 46 4C 00 47 4F 01 4A 4F 06 4C 50 03 4B 50 00 4E 51 06 50 51 0B 51 53 0A 52 55 10 54 57 11 52 5A 10 59 55 12 74 42 1D 8E 25 21 9C 11 20 A2 16 29 A6 29 36 A7 33 3F A5 2E 3B A0 2A 38 9E 2B 39 9E 2B 3D 9F 2D 3C 9F 2D 39 9F 2E 3A 9E 2A 36 9E 23 31 A2 2E 37 A9 3A 3D AE 45 46 B4 50 50 AE 4A 4A AC 42 42 A1 35 38 9E 2E 36 9E 2A 2D A4 38 38 A8 3C 3D AF 41 43 AF 45 45 AB 44 40 A3 39 3B 98 2E 37 95 2A 33 96 25 33 9B 2D 3C A3 37 42 9F 37 3A 99 34 3A 96 2A 38 93 22 34 90 1E 2E 8F 20 2B 8F 20 30 8A 20 2E 8A 1F 2C 8B 1A 26 8E 15 1C 8F 16 12 8F 15 0F 8E 12 12 8B 0E 12 88 0B 12 8A 0B 19 8B 0A 1A 89 0B 15 86 06 15 86 05 13 87 06 0C 91 12 12 AB 2E 27 B4 33 25 B5 2B 27 B8 22 26 C4 21 23 CA 21 22 D0 1E 24 D4 20 26 D7 25 2D DD 2D 37 DF 31 3B E3 39 40 E9 42 52 ED 4A 5F ED 4D 5E F1 52 63 F4 55 6A F2 55 6B F3 5A 6F F1 58 6B F2 55 66 F3 52 65 F1 50 66 F0 50 64 F1 52 63 F2 53 64 F1 53 63 F2 54 64 F1 52 62 EE 4D 5D F1 4E 5C F1 4E 5D F0 4F 5F F1 52 67 F2 57 6F F3 5F 75 F2 61 75 F0 56 66 E9 4C 5E EA 51 62 EB 56 64 E8 53 62 E7 4C 60 E4 45 58 DA 3C 48 D5 37 39 BA 47 23 A1 6F 29 97 75 18 8E 6F 02 89 73 0A AB 8F 2E C3 9E 2F B6 88 0A EE C7 79 B8 8D 20 A1 79 00 A3 7A 0B A3 79 10 A7 78 0D A6 78 04 AA 77 09 B7 7A 05 DF 8E 06 FF A3 03 FF A5 00 FF A8 00 FF AC 00 FF AF 00 FF AF 00 FF B0 00 FF B1 00 FF B5 00 FF B7 00 FF B9 00 FF B9 00 FF BB 00 FF BC 00 FF BF 00 FF C0 00 FF C3 00 FF C3 00 FF C4 00 FF C8 00 FF CB 00 FF CA 00 FF CC 00 FF CE 00 FF CD 00 FF CD 00 FF CE 00 FF D0 00 FF CF 00 FF CF 04 FF D2 11 FF D4 17 FF D6 30 FF D9 4B FF DF 5A FF E2 65 FF E4 6D FF E7 69 FF E6 76 +64 60 04 64 62 00 63 63 02 65 63 0C 65 63 0A 66 64 0D 66 64 06 65 63 02 61 61 0A 60 63 0E 60 64 11 63 66 15 63 65 11 63 65 0B 61 62 0B 5C 60 0E 5B 60 0E 56 59 06 53 57 04 4E 55 00 4A 53 00 47 4F 03 4C 4F 03 4E 4E 03 4A 4B 02 44 4A 01 47 4C 04 4B 4D 04 4E 4F 04 4D 4F 05 4D 50 04 4F 52 0B 49 52 0C 4C 53 0E 54 54 12 63 4E 19 7F 38 22 98 20 28 9D 16 28 A3 1F 2F A8 2B 36 A8 36 44 A6 33 40 A3 2B 34 A1 28 34 9E 29 3A 9D 2C 3C A0 2D 3D A4 30 3D 9E 29 36 9B 22 2E 9C 22 28 9B 24 27 A0 2F 32 AA 3D 3E AD 44 41 A9 3F 40 AA 3B 3B A3 2F 32 9E 29 2D 9E 26 26 A2 33 31 A0 2F 33 A3 2D 32 A2 32 3A 9F 30 3E 9B 2D 3B 97 2D 37 99 32 35 A0 38 38 A2 36 3B A1 35 40 9D 31 3F 97 2A 37 93 25 33 90 20 2F 8E 1E 2D 8F 1D 2F 90 1D 2E 8D 19 28 8A 18 24 8E 16 25 8D 13 20 8E 13 17 8A 11 10 86 10 10 86 0E 11 87 0B 11 89 0A 15 8A 09 1A 87 09 1B 85 04 12 82 04 10 86 07 0B A2 24 21 B7 2F 2D B4 23 24 B9 1B 22 C2 1A 23 CB 1C 22 D2 1E 27 D5 22 30 D9 28 32 E1 34 3E E7 3D 4B E8 3F 4F EB 43 52 F2 4F 5F F5 53 63 F3 4F 5A F0 4E 58 F0 4C 5E EF 49 5D ED 4B 59 ED 4A 56 EE 4A 55 EE 47 56 ED 47 58 ED 48 55 EE 4A 55 EF 4F 57 F1 55 5C F3 55 63 F4 57 67 F4 56 66 F2 51 60 EE 4A 59 EC 49 58 EE 4E 5F F2 54 69 F2 58 6A F1 57 65 EA 4D 5A EC 4F 5D EA 4D 5B E8 4D 5C E6 4F 5E E4 50 62 E2 4B 5D DC 41 46 C3 49 24 A9 6E 28 A5 81 31 96 73 10 90 70 05 AA 8C 26 E9 CC 83 D6 AD 47 B9 85 10 D6 B1 61 AB 7B 05 A7 7B 03 A5 7B 0A A5 78 0B A4 79 12 A7 76 0B BC 7A 02 EE 95 05 FF A0 04 FF A2 00 FF A6 00 FF AB 00 FF AE 00 FF B1 00 FF B3 00 FF B3 00 FF B3 00 FF B9 00 FF BA 00 FF BB 00 FF BE 00 FF C0 00 FF BE 00 FF C2 00 FF C4 00 FF C7 00 FF C9 00 FF CA 05 FF CC 01 FF CE 00 FF CE 02 FF CF 01 FF D0 00 FF D2 03 FF D1 08 FF D4 10 FF D4 11 FF D5 14 FF D6 25 FF D8 3B FF DB 46 FF DE 58 FF E1 66 FF E4 6A FF E5 6B FF E6 73 FF E7 73 FF E7 7C +65 60 00 64 62 05 64 62 0C 61 61 11 62 64 0E 66 63 0C 68 62 08 63 62 07 62 61 08 66 5F 11 63 62 15 66 66 15 65 66 10 63 65 0C 62 64 0B 5E 5F 0B 5A 5C 09 58 59 06 52 58 04 4B 54 01 49 53 00 49 51 00 4D 51 01 4D 51 06 49 4C 07 47 49 05 48 4A 05 49 4D 07 4F 4F 08 52 4E 06 50 4E 06 4B 50 0D 46 51 0E 52 50 0F 6F 45 1B 8E 2A 20 9C 1C 29 A0 1B 2F A4 25 3C A8 32 47 A8 36 45 A6 30 44 A4 27 3A A3 23 33 A1 21 31 9F 24 35 9F 2A 3A 9F 2F 3B 9F 2E 38 9A 25 30 99 1E 2A 97 1F 24 96 20 20 99 26 26 9D 2C 30 A0 31 37 A5 37 35 AA 38 35 A7 34 35 A9 39 3D A5 34 34 9F 33 31 9E 31 34 9A 24 2C 9D 2C 33 9B 2D 35 9A 2E 38 9C 32 3D 9D 34 3E A0 39 3E A2 39 3C 9C 2E 3A 96 28 39 91 24 35 8F 22 30 8E 20 2E 8B 1C 2D 8A 19 2E 8B 18 2A 8B 14 22 8A 18 1D 8B 17 1B 8B 13 18 8A 11 17 86 0D 14 85 0C 13 86 0B 13 87 0A 13 88 09 18 85 08 1B 84 06 17 82 04 14 7C 03 10 8E 13 16 B0 2E 2B B4 1B 1E BA 14 1B C3 19 21 CC 1E 1B D3 22 1C D9 26 31 DD 2F 3C E5 3D 4C EB 46 58 EC 48 58 ED 48 54 ED 4B 59 F2 4F 5E F3 4E 5D F1 4B 58 EB 46 4E EB 43 4D EA 42 4C E9 43 4B ED 4A 51 EA 47 4F E8 42 4A EC 43 49 EC 46 4D EC 45 4D EE 4A 51 EF 4D 51 ED 47 50 EE 4A 58 ED 4C 5A EF 4D 56 EE 4D 56 EB 47 51 EA 45 53 EA 48 59 EA 49 56 E9 46 4F E7 45 4F E9 4B 5C E5 47 56 E5 48 55 E2 49 56 E2 49 59 DC 46 51 C6 4E 33 AC 68 19 A7 7E 23 A0 79 18 99 71 04 AC 83 1A E0 BF 6E F7 D1 8C C9 97 28 CC A2 40 D9 B8 72 A9 74 00 AA 7D 01 A6 7B 04 A8 79 0A A8 77 07 C2 7C 02 F3 96 05 FF 9F 01 FF A1 00 FF A7 00 FF AD 00 FF B2 02 FF B3 02 FF B4 00 FF B3 00 FF B4 00 FF B8 00 FF BA 00 FF BC 00 FF BF 00 FF C2 00 FF C3 00 FF C5 01 FF C9 09 FF CC 11 FF CE 10 FF D0 08 FF D0 0C FF D0 0C FF D2 0C FF D3 07 FF D4 0A FF D6 12 FF D7 1C FF D9 27 FF DC 35 FF DD 3E FF DB 3F FF DE 4B FF E0 5A FF E3 66 FF E3 6D FF E6 73 FF E6 73 FF E7 72 FF E7 72 FF E8 7E FF E9 80 +67 5E 05 65 60 0C 60 60 11 62 61 0B 67 63 09 68 62 09 68 61 08 65 61 09 66 5F 03 66 60 07 66 62 0B 67 65 0E 66 65 13 64 60 14 60 61 0F 5D 60 0A 58 5B 07 59 59 07 55 58 06 4D 54 03 4B 52 02 4D 51 00 4E 51 01 4E 52 0A 47 4E 08 45 4B 04 45 4A 01 4A 4B 04 4E 4D 06 50 4E 05 4B 4E 07 4D 4F 08 5F 4B 0F 80 34 1C 98 20 24 A0 18 29 A5 1E 2F A6 30 3F AB 39 4A AB 38 45 A7 30 3D A5 26 38 A3 20 35 A1 20 2C A0 21 2C A0 23 33 A0 25 2F 9E 28 2D 9B 24 27 96 23 25 98 1F 28 98 20 2E 96 1F 26 95 1E 23 97 24 2D 9D 24 2B 9E 27 24 A4 32 31 A5 30 31 A1 2D 33 A3 2F 37 9F 2B 2F 9D 31 33 97 27 2C 97 26 2D 96 26 2D 9A 2E 33 9C 34 37 9D 37 3B 9B 34 3C 99 2C 35 95 28 37 91 23 34 8E 1F 30 8E 1F 30 8C 1E 2C 8A 1A 2C 88 17 26 8A 16 22 8A 16 1C 8B 16 15 89 15 10 86 12 10 84 0E 14 84 0B 16 85 09 15 82 0B 16 83 09 19 83 07 19 82 07 15 7E 05 11 7D 03 14 7C 08 15 9C 20 26 AD 18 1E BA 13 1C C6 18 20 CD 1B 22 D3 21 21 DA 29 2A E3 37 42 EC 47 53 EE 4C 58 F0 4F 5F EF 4E 59 F0 4C 58 EE 49 56 ED 46 4E EE 44 51 EB 42 4E E9 3E 46 E9 3D 41 EA 40 41 EB 45 44 ED 49 4B ED 49 51 ED 46 49 EF 45 46 ED 45 4A ED 45 48 EE 46 4C EA 42 40 EA 40 40 EB 41 48 EB 43 4A EB 44 46 E9 42 44 E9 41 44 E6 3E 45 E4 3B 46 E2 3B 3F E4 3D 40 E3 3F 45 E0 3D 49 E1 40 4A E2 3F 48 E0 3F 4A DB 3E 44 C7 4C 2C AC 68 10 A7 74 0C A2 77 0A A1 78 08 AC 7F 0E D0 A2 3E FE E3 9D E9 BE 69 C3 8B 21 E0 C6 73 CC A5 4A A9 75 00 AD 7C 08 AB 7A 06 B1 75 01 C6 7B 02 F3 96 03 FF 9E 00 FF 9E 00 FF A5 00 FF AB 01 FF B0 01 FF B4 00 FF B3 00 FF B2 00 FF B6 00 FF B7 00 FF BA 00 FF BA 00 FF BC 00 FF BF 00 FF C3 00 FF C9 01 FF CA 0C FF CD 18 FF D0 16 FF D1 0F FF D2 13 FF D4 18 FF D4 16 FF D5 19 FF D8 1B FF DA 22 FF DB 30 FF DD 3C FF DF 4D FF DF 4C FF DE 3F FF DF 4C FF DF 5B FF E4 70 FF E7 78 FF E9 76 FF E8 7D FF EA 7C FF EB 7E FF EA 7C FF EB 88 FF EC 8D +62 5E 05 64 5F 0B 61 5F 0A 64 5F 07 66 61 08 65 62 0B 64 62 08 64 61 07 68 60 03 65 61 07 65 62 10 62 63 10 63 64 13 63 60 13 61 5F 10 5B 60 0A 59 5D 03 5C 57 01 5A 56 03 52 55 00 4C 52 00 4B 50 00 49 4F 08 4A 50 0B 4B 4F 03 4D 4C 03 48 4A 04 49 4A 00 4F 4A 02 52 4F 0C 59 4E 0D 70 3E 15 8C 24 22 9F 14 25 A4 1A 2D A5 26 37 A7 32 3D AB 3C 49 AA 37 47 A9 2A 3B A7 22 35 A4 21 31 A4 24 33 A4 24 31 A2 26 2F A0 2C 31 A0 2F 32 9E 2A 37 9B 20 31 95 20 29 94 20 2C 94 20 30 94 20 27 94 20 22 96 24 29 9B 2C 32 97 26 28 9C 23 27 9C 21 26 96 1F 29 96 23 2F 93 23 2E 95 25 32 96 26 2D 9A 2A 2E 98 29 2E 97 27 2A 9D 30 31 A0 36 39 9B 34 3D 95 2D 3A 91 26 34 8F 24 32 8B 21 30 88 1E 2B 89 1D 23 8A 1A 24 88 18 22 87 18 1C 89 16 18 88 13 15 84 11 14 82 0E 19 81 0B 14 81 09 12 81 0A 14 7F 09 18 7C 07 1D 7C 06 1C 7B 05 14 7A 05 0F 79 02 0F 8A 0B 15 A7 11 18 B7 11 17 C6 18 1A CB 1E 1A D4 22 1F DB 2A 28 E2 39 34 EA 45 49 EF 4A 51 EE 49 4F EC 46 52 ED 46 49 EB 42 43 EA 3E 3F EA 3C 3C E8 39 3F EA 3E 40 EC 43 42 EE 45 45 F1 4A 48 F5 53 4F F3 56 4F F7 5A 57 FB 5E 59 FA 5C 57 F7 56 4D F5 52 4B EF 46 47 EE 45 41 EC 45 3E EC 44 40 F2 49 47 F2 4B 4A EC 42 44 E4 3A 3D E3 38 3C E2 37 3D E3 36 3F E1 36 3C E0 38 3E DE 37 3E DC 37 3D DD 39 3E DA 38 38 CB 4A 2B B4 69 17 A6 78 0F A4 7D 15 AB 85 16 B0 86 0A C4 91 27 F5 D0 83 FF EC B0 D7 A8 43 E2 B5 5A E3 C0 77 B4 7D 07 AE 78 00 B2 77 01 B4 75 00 C6 7A 02 F2 98 09 FF 9B 02 FF 9B 00 FF A3 00 FF AA 00 FF AE 00 FF B0 00 FF B3 00 FF B3 00 FF B5 00 FF B9 00 FF BB 00 FF BA 00 FF BC 00 FF BE 00 FF C0 00 FF C5 00 FF C9 03 FF CA 08 FF CD 0F FF CD 06 FF CF 01 FF D1 0D FF D3 26 FF D5 24 FF DA 20 FF DD 38 FF DF 43 FF E0 4C FF E4 63 FF E6 68 FF E3 62 FF DE 54 FF E3 61 FF E1 65 FF E3 6C FF E6 79 FF E9 84 FF EA 84 FF EA 87 FF EC 88 FF EA 82 FF EB 86 FF EA 83 +63 5D 02 60 5D 04 60 59 04 63 5C 06 66 5E 06 68 5F 06 65 5F 0A 64 5F 0B 65 5E 04 62 5E 07 64 61 0B 63 61 08 65 61 0A 68 5F 05 68 5D 03 62 5D 05 5C 5A 04 5A 58 02 56 56 00 50 52 00 4B 4F 00 4A 4F 00 4B 50 03 48 4F 03 46 4F 01 49 4B 04 49 49 05 4A 47 00 54 49 02 69 44 10 82 32 1F 96 18 27 A1 11 29 A8 20 31 A9 29 3A AC 36 45 AC 39 45 AC 33 40 A6 27 34 A8 20 2D A5 22 2D A3 24 31 A4 27 32 A6 2A 32 A5 2E 32 A5 37 36 A8 40 3D A6 3C 3B 9F 30 34 95 23 2B 93 1F 2B 96 1C 2A 94 21 28 95 22 2C 98 27 30 97 26 2D 96 23 29 98 22 29 98 1F 27 98 20 29 99 27 31 96 26 30 96 23 2D 94 22 29 95 25 2B 96 29 2E 94 27 2E 95 27 2A 96 2A 2E 94 2D 36 91 2F 39 8F 27 32 8E 24 31 88 20 2F 87 1D 28 89 1B 1E 8A 19 1F 88 17 1A 86 16 13 86 14 13 85 0F 12 82 0D 11 7F 0B 15 7E 0A 16 7E 0A 16 7E 0B 15 7D 08 14 79 07 17 78 06 17 78 03 12 75 03 11 7D 02 11 9B 0A 17 B4 10 19 C3 19 1A CA 1F 1F D2 23 24 D9 28 22 DF 33 31 E7 3E 3F E7 3F 44 E9 3F 40 EA 42 3D E9 3F 3A E8 3D 32 EA 3D 3B E9 3B 3E EA 3C 3C E8 3B 3B EE 44 47 F6 53 4D F9 5C 4F FC 6C 60 FF 72 6D FE 6B 62 FF 6C 65 FF 74 6C FF 7E 72 FF 72 60 FE 68 5B F9 5E 57 F3 4D 4B EC 42 43 EB 43 40 F1 49 44 EE 47 45 EC 43 42 E5 3B 38 E4 38 36 E3 37 39 E2 35 3A DE 34 38 DE 36 37 DD 37 37 DB 34 36 D9 31 32 CF 3F 25 BC 60 1A AB 72 0D A7 81 19 AD 88 20 B6 88 16 BA 89 0C C2 8E 16 D1 9F 37 E1 B1 5A D9 A6 47 D0 A0 3E B9 82 10 B9 7E 04 B8 7B 01 B6 75 00 C4 77 01 F0 97 06 FF 9E 02 FF 9B 00 FF A2 00 FF AA 00 FF AD 00 FF B0 00 FF B2 00 FF B4 00 FF B5 00 FF B8 00 FF BC 00 FF BD 00 FF BE 00 FF BE 00 FF BF 00 FF C2 00 FF C5 00 FF C9 03 FF CA 01 FF CD 04 FF D0 11 FF D0 1A FF D3 17 FF D5 21 FF D8 30 FF DC 3A FF DE 47 FF E4 5E FF E9 70 FF EB 7C FF EB 82 FF E9 81 FF E8 7C FF E9 7F FF E8 7F FF E7 7F FF E7 82 FF E8 85 FF EA 8D FF EC 8D FF EC 89 FF EA 80 FF E7 7B FF E5 71 +61 5D 02 60 5D 02 63 5A 01 6A 5A 00 6A 5C 01 64 5C 06 67 5C 07 69 5C 04 62 5C 08 60 5C 07 62 5E 07 65 5F 09 67 5E 0C 67 5D 06 62 5E 05 60 5B 05 5B 58 03 57 59 04 54 58 05 4E 54 01 4C 4F 00 4E 4C 00 4D 4D 00 4E 4C 00 4D 4A 00 4D 48 04 4D 47 05 56 43 08 72 38 14 91 1F 24 9F 0E 2C A5 14 31 A9 28 38 AD 35 40 AC 38 46 AD 35 41 AA 2C 39 A7 23 33 A4 1E 2F A7 24 2F A5 2C 33 A0 25 30 9F 28 33 A3 2F 33 A9 39 38 AE 41 3F B3 4C 48 AA 46 42 A3 3C 38 9D 31 2C 97 27 2A 95 21 2A 94 27 2D 94 24 2E 93 21 2A 91 1C 24 95 20 28 9A 29 30 9A 2B 2D 95 22 27 96 28 30 94 26 30 97 1F 2A 96 1E 2A 96 23 2C 94 28 2D 90 23 2E 8E 1E 2A 8F 21 2D 8F 24 33 8D 23 33 89 1E 2E 88 1E 2D 88 1E 2B 87 1C 26 87 17 1F 88 14 20 86 12 1A 84 13 13 82 0F 10 82 0E 12 80 0D 13 7C 0B 11 7E 07 15 7D 06 1A 7A 07 19 7A 06 15 77 06 13 73 05 12 6F 04 12 72 02 0E 90 07 12 B0 10 16 BD 17 18 C7 1F 18 CD 22 1D D4 25 2A D9 2D 30 E1 35 35 E6 3B 3B E4 37 3C E6 38 38 E9 3B 35 E7 3B 30 E7 39 38 E7 38 3E E6 39 3D E6 39 3B EB 40 3F ED 45 42 FB 5E 58 FF 80 74 FF 94 84 FF 94 87 FF 79 6E FF 76 6D FF 83 75 FF 8D 7B FE 77 66 FD 65 5F FB 61 5C F3 4D 46 F3 4A 49 EE 45 45 ED 43 44 E8 3E 3F E9 3D 3F E7 3D 3C E3 39 38 E2 35 37 E3 37 30 DF 37 30 DD 35 30 DA 34 34 D8 33 33 D3 3E 29 C4 5E 1A B5 73 06 B1 7E 15 B1 8A 24 B4 88 15 BC 87 09 C6 8B 14 C8 8E 11 C1 89 0A C0 89 10 C5 8F 22 BF 86 0F BE 82 04 C1 83 02 C1 7A 01 C6 77 01 E9 98 0B FF 9F 03 FF 9D 00 FF A2 00 FF A9 00 FF AF 00 FF B1 00 FF B3 00 FF B3 00 FF B4 00 FF B8 00 FF BA 00 FF BE 00 FF BE 00 FF BD 00 FF C0 00 FF C3 00 FF C6 01 FF C9 02 FF CC 0F FF CD 17 FF D0 22 FF D5 2F FF D7 37 FF D9 3D FF DA 3D FF E0 4D FF E1 5B FF E3 65 FF EA 79 FF ED 84 FF ED 8B FF EC 8B FF EC 89 FF EB 86 FF EA 86 FF E9 89 FF E9 8D FF E9 90 FF EB 8D FF EB 8F FF EC 8A FF EA 83 FF E7 79 FF E2 6C FF DE 57 +61 5B 05 60 5B 03 62 5B 06 67 5B 03 67 5B 00 61 5C 02 66 5C 01 65 5E 07 5F 5B 0B 5E 5B 0B 5F 5C 0F 61 5F 0A 64 60 04 67 5D 0A 61 5B 06 5D 5C 04 5D 5A 02 5C 58 01 53 56 04 51 54 02 4E 4E 00 4F 4B 00 4A 4C 00 47 4C 00 4A 4A 00 51 48 01 66 41 09 83 2B 18 99 14 23 A1 14 2C A6 1C 31 A9 2D 38 AD 3A 46 AD 37 47 AA 31 42 A6 26 38 A7 21 31 A4 1F 2A A3 1F 2E A4 26 32 A8 2F 39 A6 2F 34 A6 33 38 AB 3C 3D AB 3E 3B B1 45 3E BA 56 4E B4 54 4E A8 42 3C A1 36 2D 9F 30 28 9C 2D 2A 97 2D 2E 95 25 2B 97 25 28 93 1D 22 94 1D 23 94 23 28 98 29 2D 97 26 2C 96 22 2D 96 21 28 93 1D 23 93 1E 23 92 20 27 93 23 2D 8F 22 30 8C 21 29 8E 24 2E 8C 24 34 88 1F 2F 86 1D 2A 88 1E 2F 86 1E 2C 86 1A 22 88 15 17 87 15 16 86 11 14 83 10 10 81 0F 11 7E 0E 14 7B 0C 15 79 0B 12 79 08 14 79 08 18 76 07 16 75 05 18 71 04 12 6A 06 11 69 04 14 7E 04 13 A3 0B 1C B7 12 1A C0 1B 19 C8 21 1A CF 22 1E D6 29 2D DD 34 40 E0 36 40 E3 39 3C E4 38 3D E4 37 39 E6 39 39 E6 39 39 E6 39 35 E5 36 38 E6 38 3D EB 3E 40 E9 40 3F EF 4A 47 FA 5D 59 FE 76 71 FF 84 74 FF 85 73 FF 8B 77 FF 9F 8C FF 9F 8C FF 8D 7A FF 70 67 F9 58 53 F3 4F 4A FA 60 55 FD 5D 51 F7 53 4C F3 4E 4B F2 4D 4B EC 46 42 E6 3D 3E E2 39 36 E0 36 35 DF 37 36 DB 36 33 DA 33 2B D6 30 2E D2 3A 26 C3 5B 1C BC 7C 2A B4 7F 0F B4 87 1A B5 89 14 C8 91 1E D5 9A 2C CD 90 14 CB 91 17 C6 8B 14 C6 89 12 C5 8B 18 C0 86 10 C3 82 0A C1 7A 01 C3 73 00 E6 90 0C FE A2 08 FF 9A 00 FF A1 00 FF AA 00 FF AF 00 FF B2 00 FF B3 00 FF B4 00 FF B5 00 FF B7 00 FF BA 00 FF BB 00 FF BC 00 FF BE 00 FF C1 00 FF C5 08 FF C9 14 FF CD 1A FF CE 1E FF D1 32 FF D6 3C FF D9 4B FF D9 4D FF DC 57 FF DF 60 FF E4 6A FF E5 76 FF E9 78 FF ED 8B FF F0 95 FF EF 90 FF ED 8C FF EA 80 FF E9 82 FF E7 81 FF E7 7D FF E6 7A FF E5 79 FF E6 7D FF E7 7B FF E6 7A FF E2 6F FF E0 61 FF DD 50 FF DA 4A FF D7 3E +63 5A 00 62 5B 01 5F 5A 07 5F 5B 05 62 5C 02 62 5A 00 63 5A 02 62 5B 07 60 59 06 62 5B 05 65 5C 06 62 5B 05 61 5C 01 63 5D 0A 65 5B 0A 65 5B 05 61 5A 03 5B 58 02 54 53 00 53 50 00 52 4C 00 4D 4A 00 48 4B 00 4A 4A 00 57 45 04 79 35 15 95 1E 1F A3 13 24 A6 1D 33 AD 30 42 AF 39 44 AB 35 3B AC 30 3E A9 29 3A A6 22 2D A4 1F 2F A3 1F 30 A2 20 2A A5 23 2C A6 2B 32 AF 3E 3F B5 4B 45 B2 48 41 B2 47 47 AD 3F 3F A9 3A 32 BA 58 4E B2 53 4C 9F 37 33 A0 34 32 9A 27 29 9D 2B 2C 96 27 26 98 23 25 A1 2E 30 98 23 28 93 1B 23 94 1D 27 93 21 24 97 26 27 98 27 2F 94 23 2D 90 1F 23 90 1A 20 8F 1C 26 8E 25 31 8A 22 2C 88 1E 29 8B 22 2E 88 22 30 86 1F 28 85 1C 26 87 1B 2A 87 19 23 89 14 1B 88 12 10 83 14 12 82 10 13 82 0F 0F 7E 10 0E 7A 0C 0F 7B 08 14 7A 08 15 76 08 15 73 07 16 70 05 14 6F 05 12 67 05 11 60 04 11 6D 05 15 91 0B 15 AC 0E 1A B8 12 18 C1 18 17 C8 1B 1B CE 25 22 D7 30 2F DE 37 38 DF 38 3B E0 38 3B E3 38 41 E4 38 3C E4 38 3C E5 38 3B E7 3A 33 E6 39 2F E5 3C 35 E8 42 3E EA 46 46 EF 4B 4A EF 4C 47 F4 56 53 F9 60 55 FD 66 5A FF 7B 69 FF 9C 87 FF A8 93 FF 7D 6B FD 67 5C FC 64 5B FF 7D 6D FF 7A 68 FF 7E 6D FF 7A 70 FB 61 5D F6 53 4D EA 46 41 E3 3C 38 E0 38 2F E0 38 33 DD 37 36 DA 33 35 D9 32 33 D1 3E 25 C5 5B 14 B7 7C 21 BA 8A 3B B9 8B 24 B9 88 15 C3 8C 1D EA B6 62 EC B3 59 DB 9D 34 CE 90 1C CA 8E 17 C8 8D 1E C0 88 18 BF 80 06 C3 77 01 C0 6B 00 DB 84 0D FB A1 15 FE 9B 00 FF A2 00 FF A7 00 FF AE 00 FF B3 00 FF B4 00 FF B5 00 FF B7 00 FF BA 00 FF BA 00 FF BD 00 FF BD 00 FF C1 00 FF C6 0A FF CB 19 FF D1 39 FF D6 50 FF D7 4F FF DA 56 FF DD 5D FF E0 66 FF E3 6D FF E4 73 FF E6 7A FF E7 7B FF EA 85 FF EC 90 FF EF 9B FF F1 A4 FF F1 9A FF EE 8C FF EB 82 FF E9 83 FF E8 80 FF E6 76 FF E3 69 FF DF 60 FF DF 60 FF DD 58 FF DD 51 FF DB 4F FF DA 48 FF D7 3D FF D4 21 FF D3 1D FF D2 1C +67 58 00 66 5A 02 65 59 02 63 59 04 64 5A 04 67 5B 00 64 5A 03 62 5A 05 65 59 03 61 58 03 64 5C 09 65 5A 06 63 5A 01 60 5B 01 62 5A 01 5F 58 00 5C 56 03 59 55 01 58 52 00 52 4F 00 4E 4D 00 4E 4B 00 56 45 04 74 35 12 90 23 21 A0 13 2F A6 17 33 A9 2A 3C AE 3A 46 AD 3A 44 AB 30 3A A9 2B 34 A9 27 32 A8 21 30 A3 1E 2C A2 1F 2B A4 20 2F A1 26 2D A7 31 32 A9 34 35 B1 43 40 BC 56 4E B7 54 4C AA 42 3D A3 34 32 9B 28 26 9D 2E 2D 9D 31 34 97 29 28 95 20 21 96 1C 24 92 1D 28 98 24 29 98 1E 24 A0 2D 34 A1 3A 3D 92 24 29 93 1B 26 92 1D 27 93 1F 23 96 21 2B 94 20 2A 8F 20 29 8B 1D 28 8B 1B 2A 8D 23 30 8C 24 31 88 1D 2E 86 1A 2D 84 1A 29 83 1C 27 85 19 22 87 17 1D 88 14 18 88 10 17 83 11 11 7F 11 0B 7F 0E 13 7D 0D 14 78 0D 13 76 0B 14 76 08 15 75 07 12 72 07 11 6D 05 10 6B 04 18 65 05 18 5A 07 10 5D 07 10 7C 09 13 9D 0E 14 B1 10 13 B9 13 13 C2 16 17 C8 1A 20 CF 27 2F D5 30 36 DA 33 37 DD 36 3B E0 36 3E E2 39 3F E4 3B 3D E2 3A 37 E6 3A 34 E5 39 32 E3 39 34 E3 3C 38 E7 44 3C ED 4A 48 ED 48 44 EB 47 41 ED 4D 42 F3 56 49 F5 57 4E FA 5B 50 FC 6A 60 FF 80 78 FD 61 59 FC 63 5D FF 81 7B FF 86 77 FF 7D 71 FF 86 79 FF 85 77 FF 73 6A F9 5B 57 ED 49 47 E2 3B 3E E2 39 3C DD 37 36 DB 36 34 D7 37 32 D0 47 2A C4 65 16 C0 81 16 C1 92 3A C0 94 3D BF 89 1F CC 90 2D F0 B9 6B FE D1 8B FE D9 97 F6 C9 7D D5 99 29 D1 99 33 C9 91 25 BF 81 03 BF 76 00 BF 6A 00 D2 78 03 F9 9A 0B FF 9B 02 FF A0 00 FF A9 00 FF AE 00 FF B2 00 FF B4 00 FF B5 00 FF B7 00 FF BA 00 FF BE 00 FF C0 00 FF C1 00 FF C2 01 FF CA 1C FF D2 40 FF DA 57 FF DE 68 FF E2 71 FF E4 7C FF E6 84 FF E7 87 FF E8 8A FF EB 8B FF EC 90 FF ED 91 FF EE 8E FF F0 9A FF F1 A0 FF F1 A3 FF EF 98 FF EE 92 FF EE 94 FF ED 8E FF EA 89 FF E6 78 FF E4 6B FF DF 59 FF DC 4E FF D9 47 FF D8 42 FF D8 3A FF D6 2E FF D3 27 FF D3 20 FF D1 0E FF D1 0D FF CE 06 +6B 57 04 69 5B 01 69 5A 00 68 5A 00 66 5A 01 66 59 03 64 58 05 62 59 06 66 59 04 64 59 0A 60 5B 0C 61 5B 05 63 5A 04 63 58 00 60 57 00 59 56 01 56 56 01 54 54 00 55 51 00 55 50 00 59 48 05 70 37 0F 8B 24 1A 9F 17 28 A7 1A 32 A9 2D 40 AC 3C 4C AC 3C 44 AB 33 3D A8 29 3B A4 23 36 A4 22 34 A5 22 32 A4 21 2E A3 22 2E A6 2A 2F A9 2E 35 AB 38 3B AD 3F 39 AC 3E 3B A3 35 35 A8 3B 39 A1 33 31 9B 28 28 99 22 29 94 1E 2B 91 1B 24 94 1D 22 95 1D 26 93 1D 22 94 1F 23 93 22 29 95 24 29 96 1E 28 96 20 2A 97 2B 31 93 23 2A 8F 1C 25 8E 1D 2A 90 1D 26 90 1A 28 8F 1C 26 8E 1F 29 8A 1F 2D 8A 1A 2B 8B 1D 2A 8B 22 31 89 1C 28 85 14 1F 85 13 21 83 14 25 86 13 1B 87 12 14 84 10 15 82 0F 16 7E 0F 16 7C 0F 11 7A 0D 10 7A 0C 0F 76 09 13 74 09 14 70 07 0E 6E 07 11 6D 06 12 69 05 0D 63 04 12 5B 04 15 54 08 12 62 0A 13 89 0D 13 A5 0E 19 B4 0F 18 BB 13 14 C1 17 14 C6 1F 22 CE 2B 36 D3 30 3A D6 30 34 DA 32 39 DD 37 3B E1 3A 3E E2 3B 3E E3 3B 3B E1 39 3A DF 38 39 DF 39 3B E4 3C 37 E4 40 39 EA 46 43 EB 46 3E E7 41 3E E8 45 3F EF 4E 44 F1 4E 43 F5 52 46 F4 53 4B F6 53 52 F4 4F 4A F8 57 50 FB 62 59 FC 63 5D FE 68 5C FF 73 66 FF 73 68 FF 6D 62 F8 5C 52 ED 4B 44 E2 3D 3D DE 37 3C DD 35 3B D9 37 34 D0 4E 23 C2 6F 19 C2 85 2B C7 95 3B C2 8D 23 C4 8A 17 D8 9B 2E E4 A2 42 FC C9 80 FF DD 99 FF E0 96 FF EB A9 DD A3 37 C6 85 10 C2 7E 06 BF 71 00 BC 66 00 C9 6F 00 F4 97 08 FF 9D 01 FF A2 00 FF AB 00 FF AF 00 FF B2 00 FF B4 00 FF B5 00 FF B9 00 FF BA 00 FF BE 00 FF C1 00 FF C0 00 FF C6 04 FF CD 22 FF D4 4A FF D9 60 FF DC 69 FF E3 76 FF E9 8B FF E8 8C FF E8 8E FF EA 92 FF EB 92 FF EC 91 FF EC 8E FF ED 88 FF EC 8C FF ED 8D FF EC 8C FF EA 84 FF EC 83 FF EE 8F FF ED 93 FF ED 8E FF EB 8A FF E9 80 FF E3 6A FF DC 51 FF D9 44 FF D6 3F FF D7 3D FF D7 37 FF D6 2D FF D4 25 FF D2 19 FF D0 10 FF D0 18 FF CD 0E +6F 57 04 6F 5B 00 6B 5A 00 68 5B 00 65 59 04 66 58 04 66 56 02 67 58 01 67 57 03 69 57 0B 66 59 06 62 57 03 62 58 03 61 57 00 5D 55 03 5C 53 05 5B 54 01 57 52 00 5C 4D 00 6F 41 08 89 28 16 9E 18 24 AA 1C 30 AC 2B 38 AE 36 46 AE 38 47 AC 33 3C A9 28 34 A7 23 31 A5 25 33 A3 25 35 A1 23 30 A1 21 2C 9F 1E 28 A4 28 26 AE 3B 3C AA 36 39 AC 3A 37 A6 37 37 A5 3A 3D 9A 2F 33 96 27 29 94 21 27 92 1C 25 91 1C 26 90 1E 26 91 1D 24 94 1C 24 95 1C 26 93 1E 28 92 1F 27 91 21 27 92 21 23 95 1E 28 94 1F 27 91 1B 26 8F 1B 28 8C 1C 25 8B 1C 23 8D 1E 28 8B 1D 29 88 1D 25 89 1E 25 87 1F 29 88 1F 29 8A 1C 30 85 18 2C 82 13 21 83 11 1A 86 11 1A 85 11 1B 85 10 15 86 0F 12 84 0D 12 82 0E 0F 7D 0D 0F 78 0E 12 75 0D 11 73 0C 10 6F 08 16 6D 06 19 6C 05 14 6A 05 12 66 07 11 5F 07 0F 58 06 0E 54 05 0E 57 06 0F 70 0D 15 95 0C 17 A9 0B 18 B5 10 18 BB 12 18 C0 17 1F C9 25 2F CF 2E 38 D4 32 39 D7 31 37 D9 32 38 DD 36 3F DF 38 3E DF 38 39 E0 3A 34 DE 38 33 DD 36 33 DE 37 37 DE 36 32 E0 3A 37 E4 3F 3C E5 3E 39 E3 3B 35 E4 3E 38 E8 44 3D EA 44 3D EA 45 3C EC 47 43 EB 44 3C EB 42 38 ED 46 38 ED 45 39 EF 46 42 F4 52 47 FF 75 6C FF 83 78 FF 78 6D F9 62 5B EA 49 44 E0 3A 3C DC 36 38 D9 3B 2B D0 53 1E C3 73 11 BF 85 1E C3 95 39 C1 93 30 C4 86 17 DD 9D 3F FF DC 95 F1 CA 76 E5 AB 48 F8 CA 78 F7 C7 6E E6 AD 4B CF 8D 1B C4 7D 04 C1 70 00 BF 66 00 C4 65 00 EA 8D 01 FF 9F 01 FF A4 00 FF A9 00 FF B0 00 FF B3 00 FF B4 00 FF B6 00 FF B9 00 FF BB 00 FF BD 00 FF C0 00 FF C3 03 FF C8 11 FF D0 33 FF D5 46 FF D9 5C FF E3 7F FF E7 8A FF EB 93 FF E9 94 FF E7 8B FF E8 8C FF E9 90 FF E9 88 FF E8 85 FF E8 80 FF E5 6F FF E6 6C FF E7 74 FF E9 7C FF E9 83 FF EA 86 FF E9 81 FF EA 83 FF EA 86 FF E8 7F FF E5 71 FF E1 65 FF DD 51 FF D9 43 FF D5 43 FF D3 36 FF D4 2B FF D3 24 FF D2 1B FF D1 14 FF D0 17 FF D0 14 FF CD 04 +70 55 00 72 59 00 6D 5B 01 6C 59 00 6C 57 03 6B 56 01 6A 57 01 69 59 00 6A 59 00 6F 57 00 6A 57 00 62 58 00 5F 57 00 60 54 00 5F 53 00 5E 52 00 5B 52 00 68 46 07 7F 2F 13 98 18 21 A6 1E 2D AE 2C 42 B0 35 49 AF 31 3E AD 2A 38 AA 24 31 AA 21 2C A6 20 2D A2 1F 2C A1 1F 2D A2 21 30 9F 1E 28 9E 1B 25 9D 18 27 9C 1E 27 9E 2B 2E A0 2C 30 A1 2E 32 9C 26 2C 97 22 28 95 21 28 90 1E 25 8F 1B 27 8C 19 26 8D 18 26 8E 18 24 8E 18 22 8F 18 23 8F 18 22 90 1B 22 90 1C 28 91 1D 29 8F 1C 21 90 1D 24 90 1D 27 8E 19 27 8B 17 25 8A 19 24 8B 1B 20 8A 1F 26 86 1D 27 86 1B 24 85 19 22 86 1A 26 87 1B 21 84 18 1F 80 13 20 81 10 1E 83 0F 19 84 0E 13 82 0F 12 81 0F 12 81 0F 12 80 0C 10 7D 0C 0D 7A 0C 0D 75 0A 0E 71 0B 11 70 09 13 6D 07 14 68 05 16 64 05 16 64 04 13 5D 06 12 56 07 10 53 07 0D 56 06 0E 5F 09 10 7C 10 16 9B 0B 12 A9 0C 10 B3 0F 13 BB 14 1D C2 1A 2A CB 25 31 D1 2C 38 D3 2F 3A D4 31 3A D7 32 3D D9 34 39 DA 34 34 DA 34 35 DB 35 36 DA 33 36 DA 32 34 DB 32 33 DB 32 32 DB 35 31 DD 39 33 DE 38 33 DE 36 2E DE 38 33 E2 3E 39 E5 40 37 E7 42 3B E8 3F 3F E5 3C 38 E6 3C 39 E4 38 38 E9 3D 39 E7 3D 3A EC 46 3E FD 68 5E FF 96 83 FF 94 83 FD 68 60 EC 4C 49 E0 3A 3F D9 39 32 CD 58 1C C4 76 0C C6 88 19 C0 8E 26 BB 8B 1B C1 8D 1E DE A6 4B F7 CE 82 FF E2 A1 FE E7 A2 E5 B6 54 D1 90 1E D4 94 29 C9 81 05 C8 79 00 C1 6F 00 BF 66 00 C4 62 00 E8 82 02 FF 9F 00 FF A5 00 FF AC 00 FF AF 00 FF B5 00 FF B7 00 FF B8 00 FF B9 00 FF BB 00 FF BC 00 FF C0 00 FF C5 00 FF C8 15 FF CF 3A FF D6 56 FF DB 64 FF E1 75 FF E9 8B FF EC 92 FF EB 90 FF E9 8A FF E6 80 FF E7 7F FF E6 7F FF E5 73 FF E2 6A FF E1 61 FF E0 59 FF DC 54 FF DE 5C FF E1 66 FF E3 6C FF E3 6F FF E3 6C FF E2 6D FF E3 71 FF E1 6B FF DE 63 FF DD 5D FF DC 52 FF D8 4C FF D4 33 FF D2 23 FF D3 1F FF D2 20 FF D0 1B FF D3 20 FF D3 24 FF D1 16 FF CC 0E +73 53 00 75 56 00 6F 58 03 69 58 00 6D 57 00 6D 56 01 6B 56 02 68 59 00 69 59 02 6C 58 02 6A 56 01 64 55 00 60 52 01 60 53 02 60 54 00 65 4D 05 7A 38 0F 91 20 1C A3 17 2B AE 23 39 AF 33 45 AD 32 46 A9 27 37 A6 22 2F A7 20 30 A6 1F 2C A6 21 28 A3 22 27 A2 24 27 A2 28 28 9E 21 26 9A 1C 23 98 19 20 97 18 1D 94 1A 20 93 1B 1F 94 1E 24 92 1E 2A 92 1E 28 8F 19 26 8E 17 27 8F 16 29 8E 19 29 8A 16 26 8C 11 22 8D 13 21 8D 13 22 8D 13 27 8D 15 28 8C 19 24 8D 19 26 8F 1B 24 8D 1D 22 87 19 21 88 17 23 87 14 20 88 17 22 89 1B 25 87 1B 26 85 1D 27 84 1B 26 85 19 24 83 16 21 83 14 1F 84 10 1D 83 0D 1B 83 0D 1E 83 0D 1C 83 0D 19 84 0D 10 84 0D 0F 83 0D 11 81 0E 13 7D 0D 12 7A 0B 12 78 0B 12 73 0A 12 6F 08 0F 6C 06 11 64 07 14 62 06 15 5F 07 11 59 07 0D 56 07 0E 54 08 0A 57 06 10 5A 06 12 65 0A 11 81 0B 17 9D 08 15 A9 0C 16 B1 0D 12 BB 13 18 C0 1B 27 C6 21 2D CC 26 33 CF 2A 34 CF 2C 31 D3 2E 38 D6 31 37 D6 30 31 D6 2F 30 D6 30 35 D6 30 35 D5 2E 36 D6 2E 3A D6 30 37 D8 32 27 DA 35 2B DD 3A 39 DA 35 34 DD 37 34 E2 3B 36 E6 3F 3D E7 41 3E E3 3A 35 E0 37 34 E2 38 3C E1 35 34 E4 3A 34 E7 3F 37 EC 44 3E F7 59 56 FD 7C 72 FF 83 76 FA 6A 63 EB 4D 4D DB 41 35 CC 5A 23 C2 7A 1A C1 8B 28 C3 8E 28 BD 89 1A B9 85 07 C3 90 18 EE C2 70 FB D4 8F E7 A9 4A D1 91 21 CD 8C 20 CB 86 18 C8 80 06 C5 78 00 C2 6F 00 BF 64 00 C2 5E 00 E3 80 0A FF 9F 06 FF A2 00 FF AC 00 FF B0 00 FF B3 00 FF B6 00 FF B9 00 FF B9 00 FF BC 00 FF BD 00 FF BF 00 FF C2 00 FF C7 0C FF CB 28 FF D1 42 FF D4 50 FF DC 6C FF E6 86 FF E9 8E FF E8 8D FF E7 84 FF E5 83 FF E7 80 FF E5 78 FF E5 74 FF E4 6B FF E2 61 FF DE 56 FF DE 54 FF DE 53 FF DE 52 FF DC 4D FF DD 47 FF DB 47 FF DA 46 FF DA 46 FF DA 42 FF D7 3D FF D7 4B FF D7 4C FF D4 39 FF D3 35 FF D2 24 FF D0 18 FF D0 1B FF D0 1D FF D0 1D FF D1 1A FF D2 22 FF CF 2A FF CB 21 +70 50 00 72 54 01 70 57 01 6D 58 00 6F 57 00 6E 57 01 6A 56 00 6C 57 00 6D 57 00 6D 57 00 6C 56 00 62 54 00 5D 51 00 61 51 02 6F 47 09 85 28 14 9A 15 22 A8 1D 32 AF 30 41 AD 35 40 AB 29 37 A8 22 33 A5 20 2E A2 21 2C A2 1F 33 A2 20 31 A4 28 30 A8 2D 2F A8 32 32 B2 43 46 A4 34 36 97 20 23 96 1C 1F 95 1C 1E 93 1D 23 90 1C 25 8D 1A 28 8A 18 28 8B 17 23 8A 14 25 8B 12 24 8C 12 21 8B 14 23 8A 15 24 8C 11 1F 8A 13 1A 8A 13 1C 8A 15 20 8B 15 24 8C 15 29 8C 1A 2A 8A 1B 28 89 19 26 88 15 22 83 16 20 81 16 25 81 19 27 82 1A 23 82 18 21 82 16 22 82 14 21 82 13 1E 81 12 1C 81 10 17 7F 0C 16 80 0C 1B 82 0D 18 82 0C 11 82 0B 14 80 0A 13 81 0B 15 81 09 17 7F 0A 15 7A 0C 11 78 0C 15 73 0B 18 6C 0A 16 67 08 10 63 07 11 5C 07 13 5B 06 15 55 07 11 54 09 14 57 08 16 5A 06 10 5E 07 11 5E 08 11 6A 0C 12 84 09 14 9E 08 11 AB 0E 13 B2 11 15 BA 14 1A BE 18 22 C2 1D 24 C7 22 29 C9 24 2A CB 27 2D CD 28 30 D0 2B 31 D0 2B 31 D0 2A 30 D2 2B 33 D3 2E 34 D1 2C 31 D2 2E 30 D3 2F 2E D3 2E 30 D7 32 30 D9 35 38 D8 32 38 DC 35 35 DE 35 33 E0 38 35 E2 3B 37 E1 38 34 E1 37 37 DF 36 3B DE 34 36 E4 3C 38 E7 41 3D E7 42 41 EA 45 44 F5 59 55 FF 77 6D FB 69 62 E9 57 46 CB 5E 25 BE 7D 22 BF 8B 2F BB 8B 29 BA 86 13 C8 92 1C D9 A4 3D C4 89 14 D0 95 33 D5 96 30 CF 8A 18 CC 83 06 C7 83 0A C7 7D 0C C4 70 00 BF 6A 00 BC 63 00 BD 5B 00 E1 80 0A FF A3 07 FF A2 00 FF A9 00 FF B0 00 FF B3 00 FF B5 00 FF B6 00 FF B9 00 FF BC 00 FF BD 00 FF BF 00 FF C4 00 FF C6 01 FF CD 1F FF D4 47 FF DB 65 FF E2 79 FF E8 8D FF EB 95 FF E9 8E FF E4 82 FF E5 80 FF E6 87 FF E6 85 FF E6 7D FF E5 76 FF E3 6B FF E1 62 FF E0 58 FF DF 52 FF DE 50 FF DD 4E FF DD 4A FF DB 41 FF D8 3C FF D7 31 FF D5 24 FF D0 18 FF CE 1E FF D0 29 FF CE 2C FF CE 1C FF CD 15 FF D0 21 FF D0 23 FF CD 1B FF CD 16 FF CF 13 FF CF 19 FF CD 1C FF CB 1C FF CB 10 +6E 4C 00 71 52 00 73 56 00 73 57 00 6D 58 00 6C 55 01 6B 54 01 6B 55 00 6E 55 01 6E 53 01 6C 52 00 66 53 00 69 4E 03 7C 38 09 90 1C 15 A1 15 2B A7 27 35 AC 31 3A AC 2D 3B A7 25 33 A8 21 30 A6 1F 2D A4 25 31 A5 28 32 A6 21 2F A3 29 31 AA 3A 3D A8 35 36 9F 2B 2B 9F 2B 2F 9A 28 29 94 1F 23 93 1D 24 90 1D 25 8D 19 23 89 16 23 86 12 1F 88 12 1C 8A 13 19 8B 13 1B 8B 13 1E 8B 13 1D 8B 13 1D 8E 13 1C 8E 11 1A 8C 12 1B 8A 12 20 8B 11 22 8B 13 23 8C 15 24 8B 19 25 85 19 23 83 18 21 84 17 20 82 19 23 7E 19 28 7F 19 29 82 18 24 81 16 1F 80 16 20 7E 13 1D 7D 11 18 7C 10 16 7E 0C 13 7D 0D 19 7F 0D 18 7F 0C 13 80 0A 10 7F 09 11 7E 0A 13 7B 0C 14 7B 0A 12 7B 09 12 79 0A 10 76 0B 0D 6E 0A 10 65 0B 11 5F 0C 0F 57 0A 10 51 08 12 51 08 11 55 08 0F 5C 09 12 5E 09 10 60 09 10 63 09 15 64 09 13 6E 0E 12 85 0B 15 9E 09 13 AB 10 12 B2 14 13 B8 16 16 BC 17 1F BF 1B 21 C2 1E 24 C5 20 26 C7 22 29 C9 26 2A CB 28 2A CC 28 2A CB 26 2A CF 2A 28 D4 2D 2E CF 29 2C D0 2B 2C D1 2D 2F D0 2C 2F D3 2E 31 D5 30 33 D8 33 33 DA 34 36 DA 34 34 DD 36 31 DE 36 33 DE 38 3A E0 3A 40 DF 37 3D E0 38 3B E3 3C 3D E5 40 39 E4 3E 3B E0 3B 3A E6 45 3B FD 80 78 F7 87 6C CE 66 21 C0 7D 21 BE 8C 2E BC 8A 20 BB 85 0B C4 85 00 D1 92 11 DE 9D 34 D3 8E 1B D2 8F 26 C9 87 13 C8 80 0C C5 7D 02 C6 77 00 C1 6F 00 BE 65 00 BB 61 00 BB 59 00 DA 75 0C FF A2 11 FF A0 00 FF A9 00 FF AF 00 FF B3 00 FF B6 00 FF B6 00 FF B7 00 FF B9 00 FF BF 00 FF C2 00 FF C4 00 FF C9 0A FF CF 2A FF D8 4B FF DF 65 FF E5 83 FF EA 91 FF E9 91 FF E7 8C FF E5 83 FF E6 84 FF E6 86 FF E7 87 FF E8 88 FF E7 81 FF E6 76 FF E4 71 FF E3 68 FF E0 58 FF DC 4D FF DB 53 FF DB 4B FF DB 47 FF D9 45 FF D9 40 FF D6 2C FF D4 21 FF CF 1A FF CE 17 FF CC 14 FF CB 10 FF CC 0D FF CC 0A FF CF 0C FF CD 12 FF C9 0F FF CA 15 FF CC 14 FF CC 13 FF CA 14 FF C8 15 FF C9 13 +6B 4A 01 6E 50 01 71 53 00 72 56 01 6B 57 02 6C 56 03 6D 55 02 6C 56 00 6D 55 00 6C 52 00 67 53 02 6B 4C 08 81 2D 16 98 14 1E A6 1D 2F AB 2A 3D A9 2B 3D A7 2A 36 A6 25 30 A8 21 2D A6 1E 29 A7 25 2B AC 35 38 AF 3D 3D A1 25 20 A2 27 2C A1 2C 36 9D 25 2E 94 1C 26 8F 19 26 90 19 24 90 19 21 8F 17 21 8B 15 22 87 14 1F 87 13 1D 88 13 1A 88 14 18 86 15 19 85 15 18 88 16 19 8B 16 1D 8B 16 18 8B 15 16 8C 14 19 8B 12 1B 8B 10 1F 89 13 26 87 18 27 88 1D 23 86 17 24 85 16 23 84 16 21 84 16 20 83 16 23 82 18 23 81 17 24 7F 16 24 7E 14 20 7E 12 1F 7C 0F 1C 79 0F 18 79 0E 14 7B 0A 12 79 0B 17 79 0A 17 7A 09 15 78 0A 10 77 07 0E 7B 07 11 7A 09 11 78 09 11 76 09 10 73 0A 0E 72 0B 11 68 0A 15 5E 09 15 58 0B 13 53 09 10 4E 08 11 53 0B 12 5D 0A 11 64 0A 11 66 0E 10 67 0F 10 69 11 18 68 0E 17 70 0D 17 85 0B 18 9B 09 0F A8 0E 12 B0 12 1A B5 14 1B B8 19 1B BB 1B 19 BD 1B 19 C2 1E 1B C4 20 1E C5 21 25 C8 25 2C C9 25 2E C8 25 2D CB 27 29 CD 27 2E CC 25 2D CC 28 28 CD 2B 30 CE 2C 2F D1 2D 30 D6 31 33 D9 33 32 DA 35 34 DA 36 35 DC 38 38 DD 38 38 DE 3A 40 DE 38 40 DF 39 3C E2 3D 40 E1 3C 3E E1 3B 36 DD 36 35 DD 36 38 E6 47 45 F9 84 70 D8 7A 33 C5 7B 19 C2 8B 25 C0 89 19 BF 85 0E C4 85 0A D0 8D 14 D2 93 1F D1 8F 1A D2 90 25 CA 88 1A C6 81 06 C5 79 00 C1 72 00 BF 69 00 BB 63 00 BA 5D 00 B7 55 00 D1 6B 0A FC 9E 1A FF A1 02 FF A6 00 FF AE 00 FF B1 00 FF B4 00 FF B5 00 FF B8 00 FF BB 00 FF BF 00 FF C3 00 FF C7 05 FF CA 1A FF D0 31 FF D4 42 FF D6 47 FF D9 5F FF E2 7A FF E6 87 FF E8 8A FF E9 8A FF E7 87 FF E9 90 FF E8 8C FF E8 87 FF E7 86 FF E7 83 FF E8 7C FF E5 76 FF E3 6A FF E1 63 FF DF 5A FF DE 4F FF D9 45 FF D7 41 FF D6 38 FF D3 22 FF D3 1C FF D1 1E FF CF 20 FF CF 18 FF CC 0B FF CA 05 FF C9 09 FF CB 03 FF CB 00 FF C8 00 FF C8 00 FF C9 08 FF C8 10 FF C7 0D FF CA 15 FF CA 19 FF C9 17 +69 46 02 6B 4B 00 6D 4F 00 70 54 01 72 57 02 71 56 01 6E 56 00 6B 55 00 69 55 02 6A 51 00 6E 49 05 83 28 15 9D 10 21 A6 1C 30 AB 2C 3D A6 28 35 A3 26 37 A2 2B 37 A3 29 30 A3 23 2E A1 25 2B A2 28 28 A5 32 30 A5 36 33 99 22 1F 97 1D 25 94 1C 27 93 19 20 90 19 24 8B 18 24 8C 14 21 89 11 20 88 12 23 86 14 1D 85 17 1A 86 17 1C 84 17 1A 85 18 1A 83 19 1C 83 18 18 84 19 16 86 1C 1A 87 1A 18 88 19 15 89 18 14 89 18 18 88 18 1C 85 18 23 86 1E 24 84 1D 23 82 18 22 81 17 24 80 16 23 7E 16 21 80 14 21 7F 15 23 7F 16 24 7C 13 1F 7C 13 19 7B 11 19 78 0E 17 75 0C 13 72 0C 11 73 0A 13 74 09 14 74 0A 13 72 08 16 71 06 11 73 07 10 74 07 11 76 08 14 71 09 14 6E 0A 13 6E 0A 0F 68 0F 0E 5D 0E 0E 5A 0B 10 55 08 10 54 06 11 58 09 12 5E 0A 14 64 0B 17 69 13 1A 70 1B 1E 74 1E 1E 74 1E 1C 6D 15 14 6F 10 13 86 0B 14 9B 0A 16 A7 0B 17 AE 11 14 B3 13 17 B7 17 1F BA 18 20 BD 1C 1D BF 1E 17 C1 1F 1D C2 1F 25 C3 21 2B C4 23 2C C5 23 28 C6 23 25 C7 23 28 C7 23 29 C8 24 28 CB 29 29 CC 2C 2F D0 30 34 D5 33 35 D6 33 33 DA 35 36 D9 36 39 DB 39 3F DD 3C 40 DF 3B 40 DE 3A 3D E1 3D 3E E0 3C 3D DF 3A 3B DC 37 35 D9 34 2D DC 38 32 EC 58 4D DF 6F 36 CD 7C 18 CD 8A 1F C6 88 16 C4 87 0D C7 88 15 CC 8E 23 D0 91 22 CC 8D 1E C9 8A 1D C5 82 12 C3 78 05 C1 72 00 BE 6B 00 BA 64 00 B9 61 00 B9 5B 00 B5 54 00 C5 60 03 F7 98 1E FF A4 06 FF A4 00 FF AC 00 FF B2 00 FF B5 00 FF B8 00 FF B8 00 FF BB 00 FF C2 00 FF CA 05 FF CA 10 FF CE 22 FF D3 37 FF D6 3C FF D4 40 FF D9 55 FF DD 6A FF E3 78 FF E5 82 FF E7 8A FF EA 8F FF ED 91 FF EC 92 FF EB 92 FF EB 90 FF EA 8C FF EA 84 FF EA 87 FF E8 86 FF E7 7F FF E5 75 FF E2 68 FF DC 54 FF D7 3E FF D7 3A FF D2 30 FF D2 1B FF D1 13 FF D1 16 FF CE 16 FF CB 0B FF CA 02 FF CA 01 FF C8 00 FF C9 00 FF C7 01 FF C5 00 FF C7 00 FF C5 02 FF C4 01 FF C7 04 FF CA 10 FF C7 07 FF C6 04 +64 42 00 65 4A 00 67 4D 00 6F 52 00 72 55 01 72 56 02 6F 55 04 6D 54 01 6B 53 02 72 49 09 82 28 0F 97 12 1C A1 20 30 A5 2A 39 A5 28 38 A2 22 2C A0 21 2E 9F 26 31 A0 25 2E 9F 21 2B 9C 25 2D 98 22 29 95 21 2A 93 22 28 92 1B 1F 91 18 22 90 15 1F 8E 14 1B 8C 12 21 8A 11 21 87 12 1D 87 11 1D 87 13 1E 86 17 16 86 1A 14 86 19 17 83 1A 18 7D 1B 17 7E 1B 19 7F 1A 10 80 1B 0E 82 1D 14 84 1D 1A 83 1C 18 85 1C 1A 86 1B 1B 85 1B 21 87 1F 28 83 1B 21 81 17 20 7E 16 21 7E 16 21 7E 14 1F 7E 14 1C 7E 14 1B 7F 13 1A 7D 12 1A 7A 10 1A 77 0E 15 75 0C 18 71 0B 17 6F 0B 11 6D 0C 0F 6D 09 12 6C 09 13 6A 09 12 6A 09 15 69 09 13 6A 09 12 6B 07 13 6A 09 14 68 0B 11 65 0D 0F 63 0E 10 5D 0F 0E 53 10 0E 51 0B 0E 52 08 0F 57 08 13 60 09 11 68 0F 13 6F 18 1D 76 20 24 77 23 28 78 25 23 77 21 17 71 19 12 70 12 0E 87 11 12 9B 13 17 A5 0E 11 A9 0E 12 AE 12 14 B2 16 12 B7 18 1A BA 1B 1D BD 1D 19 C0 1E 1F C1 1E 24 C0 1C 21 C0 1F 20 C0 22 22 BE 1E 20 C3 22 20 C6 24 23 C5 23 26 C7 24 27 CB 2B 2B D0 32 2F D4 32 31 D6 34 37 D9 35 39 D9 37 3B D8 38 3F DC 3A 3E DF 3B 3F E0 3C 41 E0 3E 42 DF 3B 3A DC 37 3E DA 35 3D D9 34 37 DB 3C 36 D9 5C 2F D1 7A 1E D0 8A 1C CC 89 13 CC 87 0B D3 8C 12 D9 93 23 D3 8F 1E C8 83 09 C0 79 00 BD 74 01 BF 72 00 BD 69 00 B9 63 00 B3 60 00 B4 5C 00 B5 58 00 AC 54 00 B5 55 01 EF 8F 1D FF A6 0D FF A5 00 FF AD 00 FF B1 00 FF B3 00 FF B7 00 FF BA 00 FF BB 00 FF C1 00 FF CB 09 FF CF 10 FF CD 13 FF CE 25 FF CF 30 FF CF 2F FF D8 50 FF E2 7C FF E4 81 FF E4 86 FF E9 8F FF EB 93 FF ED 96 FF EE 98 FF ED 98 FF ED 94 FF ED 92 FF EC 91 FF EB 8E FF EB 8C FF E9 87 FF E8 81 FF E7 82 FF E5 7A FF E2 73 FF E0 62 FF DB 52 FF DC 54 FF D9 3E FF D2 2A FF D1 1D FF CD 08 FF CA 02 FF CB 08 FF CA 02 FF C7 00 FF C6 00 FF C3 00 FF C2 00 FF C4 00 FF C0 00 FF C4 00 FF C5 00 FF C5 01 FF C2 00 FF C1 00 +5F 3D 00 64 46 00 68 49 00 6D 4D 00 70 52 01 70 54 04 6F 53 06 6F 52 02 75 4C 05 82 2A 12 96 12 1D A3 21 2C A0 2E 32 9F 2D 3A A1 2C 3C A2 25 2D 9F 25 28 9B 20 28 99 1F 25 98 1F 25 95 1F 25 94 1E 25 90 16 28 8B 14 24 8B 14 20 89 12 1C 88 11 1C 8A 12 16 87 13 19 84 14 1B 84 16 1C 87 19 1B 86 1A 15 84 1C 13 82 1B 12 83 1C 11 7F 1B 12 7B 1B 16 7C 1A 16 7F 19 14 81 1B 16 7D 1B 15 7F 1F 1F 7F 1E 1B 82 1F 1D 81 1D 21 85 1E 2B 85 1D 27 81 1A 1D 7F 18 19 7C 18 1C 7B 16 1C 7D 16 1C 7D 15 19 7A 16 16 7A 15 16 78 14 1A 74 14 15 70 0F 12 6D 0B 17 6A 0A 17 67 0B 10 68 0C 0F 67 09 11 66 09 11 64 09 10 63 09 14 60 09 14 64 09 0D 63 09 0E 64 0C 0E 61 0E 10 5F 0E 15 5B 0F 10 53 0C 0F 4D 0B 0F 4F 09 11 58 09 13 60 0A 10 68 11 16 71 1A 1E 75 20 23 78 20 23 78 1F 1E 79 1D 1A 77 18 16 73 16 14 71 11 10 83 12 12 98 16 13 A0 13 0E A6 0C 15 A9 0E 17 AD 12 11 B3 16 15 B6 19 17 BB 1C 16 BC 1B 1C BB 19 1E BC 1A 1B BD 1C 19 BC 1E 20 BD 1C 21 C0 20 25 C2 22 26 C4 22 24 C6 22 2A C9 28 2E CE 2E 32 D1 31 34 D3 33 35 D7 35 3A D9 38 3F D9 37 41 DB 38 3C DF 3C 3C DF 3C 3D DF 3B 41 DC 38 3E D9 38 3F DA 36 3E D7 36 33 D5 4D 2C D2 7A 2C D0 8A 28 CF 88 23 D1 87 1A DD 93 25 DF 99 36 D2 8A 18 C4 7A 01 C0 76 00 C0 71 00 BD 68 00 B7 64 00 B3 5D 00 B2 5A 00 B3 56 00 B3 54 00 AF 52 00 A8 4B 00 DC 7C 14 FF A6 19 FF A6 02 FF AB 00 FF B2 00 FF B4 00 FF B7 00 FF B9 00 FF BB 00 FF C2 02 FF C9 11 FF D1 1B FF D0 1B FF CE 27 FF D3 42 FF D6 52 FF DD 66 FF E4 84 FF E8 94 FF EA 97 FF EC 9C FF ED A0 FF EF 9F FF EE 9C FF EE 9C FF EE 98 FF EC 8C FF E9 82 FF E7 80 FF E8 7C FF E7 7B FF E8 81 FF E6 7F FF E6 7E FF E6 7A FF E6 7F FF E4 7B FF E1 6E FF DC 60 FF D6 47 FF D2 38 FF D3 32 FF CF 16 FF CB 06 FF CA 0C FF C8 05 FF C6 00 FF C4 00 FF C3 00 FF C3 00 FF C4 00 FF C5 00 FF C3 00 FF C3 00 FF C4 00 FF C1 00 FF C2 00 +5A 37 00 65 40 00 66 43 00 6A 47 00 6D 4C 01 6D 51 00 6F 52 00 74 4E 04 83 31 10 93 13 18 A1 21 2B A3 2B 35 9F 2B 32 9E 32 39 9F 2C 36 9C 20 26 99 1E 22 97 1C 24 96 1C 24 90 1B 26 8E 18 26 8C 14 21 8A 13 20 87 11 18 84 12 16 81 13 1B 82 14 19 82 16 15 80 19 18 7E 18 17 81 19 16 82 19 14 82 1A 12 81 1B 10 7E 1B 11 7B 1B 10 7A 1A 10 7A 18 11 7B 18 14 7C 18 18 7F 1A 1A 7E 1B 16 7D 1E 1A 7E 20 1B 7E 20 1D 80 1F 25 80 1E 28 7E 1A 1D 80 1A 1A 7E 1B 1C 7C 1B 1D 7A 1B 1E 78 1C 1C 77 1A 19 73 1A 14 73 19 0D 71 16 0F 6E 15 11 6A 13 12 6A 0F 12 67 0D 12 63 0D 11 64 0C 12 62 09 0F 62 09 11 60 09 13 59 08 11 5A 08 10 5F 09 0C 5C 0A 11 5B 0D 11 59 0F 0F 54 0F 13 4F 0F 11 4C 0C 0D 50 09 0D 58 09 10 60 0B 12 68 11 17 6E 18 1A 72 1A 1D 74 1A 20 75 1A 1B 79 19 19 79 15 17 77 13 17 74 12 15 70 0F 11 7E 12 11 94 18 13 A0 16 13 A2 0C 10 A4 0C 12 A9 0E 15 AD 11 16 B2 16 17 B5 17 13 B7 17 19 B7 15 20 B7 17 21 B9 1A 1C B9 19 1F BB 19 22 BA 1A 1E BC 1C 1E C2 1F 23 C5 23 2C C9 25 2E CB 29 32 CE 2D 36 D1 30 3B D3 35 3E D6 37 3D DB 37 39 DD 3A 39 DE 3B 3C DE 3B 3D DC 38 3F DB 38 3B DC 38 3C DA 36 3C D4 46 22 D3 70 25 D5 87 2F D5 88 1C DA 8C 19 E4 94 22 E1 8D 20 D5 84 17 CD 7C 05 C5 71 00 C0 6A 00 BB 63 00 B8 5D 00 B4 5A 00 AF 56 00 AD 53 00 AD 50 00 AC 4F 00 A8 45 00 C5 63 0C FE A2 1C FF A5 01 FF AC 00 FF AF 00 FF B5 00 FF B8 00 FF B9 00 FF BB 00 FF BF 00 FF C9 0F FF CC 29 FF D2 2B FF D1 38 FF D6 52 FF E1 6D FF E6 84 FF E8 8A FF E8 8C FF EA 92 FF E9 90 FF EC 94 FF ED 99 FF EC 98 FF EB 95 FF E9 8C FF E9 7D FF E6 74 FF E2 69 FF E1 63 FF E1 5D FF E2 6B FF E7 7D FF E5 7A FF E1 73 FF E1 6D FF DE 65 FF DD 6F FF DC 69 FF D7 47 FF D5 3F FF D2 3A FF D2 32 FF CF 21 FF CA 0A FF C9 05 FF C7 02 FF C4 00 FF C3 00 FF C5 00 FF C3 00 FF C2 00 FF C3 00 FF C2 00 FF C3 00 FF C1 00 FF C0 00 FF BF 00 +6B 34 00 5C 38 00 60 3F 00 66 42 00 6A 46 00 6C 4C 00 71 4C 03 7E 35 0F 94 16 23 A2 20 32 A3 2B 37 9E 2A 37 A0 2D 3A 9E 2C 37 9A 1F 29 98 1B 1E 94 1D 21 90 17 25 8E 13 21 89 12 22 88 11 24 88 0F 23 86 11 1E 81 14 11 7E 15 12 7E 16 16 7D 16 15 7A 18 0F 7A 19 12 7A 18 18 7C 17 18 7B 17 12 7A 1A 10 77 17 0E 79 16 11 74 16 12 72 17 11 77 17 0E 78 16 0F 79 19 13 79 1B 14 7B 1C 13 7D 1F 14 7C 1D 19 7D 1E 1D 7D 20 1D 79 1D 18 79 1D 1B 7A 21 16 79 21 16 77 20 1B 73 20 1C 70 1C 19 6E 18 16 6B 16 16 6B 13 12 6C 12 0E 6C 12 0D 67 10 10 63 10 12 61 11 0E 5F 0E 0D 5F 0D 0C 5D 0C 0C 5B 0B 0E 5A 09 11 57 09 12 56 0A 10 5A 09 11 59 0E 17 55 10 16 50 0F 0F 4C 0F 0D 49 0E 0E 4E 0A 0E 58 09 0F 62 0A 0E 67 10 10 6C 16 17 70 15 18 74 14 1B 76 16 1E 76 15 17 79 13 16 7A 11 15 76 0F 14 75 10 13 71 0D 11 75 0F 0F 8F 18 16 9C 19 18 9D 10 14 A1 0B 15 A5 0B 17 A9 0D 14 AE 13 18 B1 15 1A B4 15 1A B5 15 1D B5 15 19 B5 17 1B B6 1A 22 B7 19 21 B7 17 1D B9 17 1E BB 1B 25 C1 22 2A C6 23 28 C8 25 30 CB 2B 38 D1 34 3E D5 3A 40 D3 37 39 D8 35 38 DC 3A 3F DD 39 3C DD 3B 3C DA 39 3E DA 38 3D D9 38 3B D4 3E 2A D2 66 1B D6 82 20 D9 85 18 E2 8B 1C E4 8D 1C E0 89 13 DA 82 0F D0 75 01 CD 6D 00 C9 67 00 C1 5F 00 BA 5A 00 B6 56 00 B3 52 00 B1 50 00 AE 4D 00 A9 49 00 A2 41 00 AF 49 01 F4 92 1A FF A7 07 FF A9 00 FF B0 00 FF B4 00 FF B8 00 FF BB 00 FF BF 00 FF BE 00 FF C4 00 FF CB 1A FF D2 32 FF D4 3E FF D9 53 FF E1 6D FF E3 73 FF E4 7E FF E3 79 FF E4 71 FF E4 79 FF E3 7C FF E4 7B FF E6 81 FF E9 86 FF E9 89 FF E7 7B FF E4 6D FF E2 65 FF E2 60 FF E0 5D FF DE 5B FF E1 63 FF E4 73 FF E5 7E FF E4 7C FF E1 72 FF E1 74 FF DB 61 FF D7 4C FF D7 45 FF D6 43 FF D0 32 FF CE 30 FF CB 1F FF CC 0F FF C9 0A FF C5 04 FF C5 01 FF C5 00 FF C5 01 FF C5 00 FF C5 00 FF C1 00 FF C1 00 FF BE 00 FF BF 00 FF BF 00 FF BC 00 +B5 50 00 7A 3A 00 61 38 00 61 3D 00 64 42 00 6A 47 01 77 3A 0F 8D 1B 1F 9F 22 31 A2 29 34 A0 29 35 A0 2B 35 9C 29 33 96 22 31 94 1E 28 92 1B 21 8C 17 1D 8A 10 1A 87 0D 1A 83 0E 1A 81 10 1B 7F 11 19 7E 12 18 7D 14 11 7C 14 12 7A 15 12 79 17 13 7A 18 11 79 16 10 79 15 13 78 14 13 77 16 13 71 18 11 6C 15 0D 6D 13 11 70 13 12 73 13 11 75 14 10 74 18 0F 75 1B 0F 76 1B 0E 7B 1B 11 7C 1C 16 78 1C 19 78 1C 19 78 1C 15 78 20 19 7A 1F 1D 76 1C 16 72 1C 15 6F 1A 12 6C 16 12 6A 14 12 68 13 0E 67 11 0E 64 11 0E 62 0F 0E 61 0F 0D 5F 0D 0F 5D 0D 0F 5C 0E 0C 5A 0D 10 58 0D 10 55 0A 10 53 0A 11 52 09 11 52 09 12 50 0E 11 50 0E 0F 4E 10 10 4C 11 10 46 0F 11 46 0C 10 4E 0A 0E 58 0B 0E 5F 0B 0E 66 0C 10 6A 0E 12 6C 10 13 70 11 11 74 12 13 75 12 17 76 11 12 77 11 0D 78 12 0C 76 0F 0C 74 0E 0D 72 0C 0E 70 0C 0C 83 13 11 95 1B 16 9C 12 14 9E 0B 0E 9F 0D 0C A3 0E 0D AA 12 0D AE 15 11 B0 15 17 B0 15 15 B1 14 12 B0 15 11 B1 16 14 B3 18 1B B6 17 1B B8 17 1D B9 1A 22 BC 20 24 C1 22 20 C4 24 27 CB 2F 36 D2 3A 42 D5 3C 46 D4 37 41 D7 36 3D DA 39 3F DC 39 3A DC 3A 39 D9 38 3C D8 36 3A D7 3B 2D D3 54 21 D3 75 18 DA 80 17 E2 88 18 EA 8D 2A E1 85 14 DB 7F 07 D6 7A 07 CD 6B 00 C8 5F 00 C5 5B 00 BD 58 00 B9 53 00 B6 4D 00 B3 49 00 AE 49 00 A8 45 00 A0 3D 00 A1 34 00 DC 72 12 FF A6 0F FF A9 00 FF B0 00 FF B3 00 FF B8 00 FF BA 00 FF BC 00 FF BE 00 FF C3 00 FF CA 11 FF D1 2F FF DA 50 FF DA 58 FF DF 62 FF E3 72 FF E1 6E FF E1 6F FF DD 64 FF DB 58 FF D9 58 FF D9 56 FF DB 53 FF DB 58 FF DF 64 FF E2 64 FF E2 65 FF E2 65 FF E1 5E FF E2 5E FF E2 62 FF E0 5F FF E0 5F FF E1 66 FF E1 70 FF E2 7E FF E5 87 FF E2 78 FF D6 58 FF D2 3F FF D7 4F FF D7 4C FF D6 4B FF D3 3F FF CD 22 FF CB 1B FF C8 11 FF C7 0B FF C4 03 FF C3 00 FF C4 00 FF C4 00 FF C1 00 FF C0 00 FF BD 00 FF BE 00 FF BF 00 FF C0 00 FF C1 00 +E4 5C 00 C2 53 00 84 3B 00 60 35 00 60 3D 00 6A 3D 05 7E 22 13 99 1D 2E 9E 2B 35 9E 29 35 9D 2A 3A 9B 24 32 96 1F 23 91 1D 22 89 16 23 8B 0D 1E 8B 0D 1C 84 0E 18 82 0F 16 81 10 18 7D 10 13 7B 13 0E 7A 14 12 7A 11 16 79 12 12 78 13 10 76 15 0F 77 15 12 76 16 0E 76 16 11 73 14 11 70 12 10 6C 13 0C 6A 11 0F 69 14 13 6C 13 14 71 13 13 73 15 12 76 16 0E 76 1B 10 74 1B 17 75 19 16 77 19 18 78 18 13 75 18 13 71 1A 18 72 1C 19 71 1D 1C 6D 1A 19 68 16 12 67 13 0D 66 12 0B 63 10 10 60 11 0E 5E 0F 0B 5E 0E 0B 5B 0C 0D 57 0C 0F 56 0C 0F 55 0C 0E 55 0D 10 56 0C 13 53 0A 12 51 09 11 4F 09 10 4C 09 10 4C 0C 13 4B 0D 0E 49 0F 0C 45 0F 0B 43 0D 09 48 0D 09 51 0B 0E 5B 0D 0E 5F 0D 08 63 0C 0E 67 0C 10 6A 0D 0E 6C 10 11 70 11 11 73 11 0F 77 10 11 77 0F 12 77 10 0F 78 10 0D 76 0F 0E 73 10 11 70 0C 12 6C 0B 0C 76 0E 0C 89 16 11 96 12 11 9B 0C 0F 9C 0B 15 9F 0D 17 A3 12 0F A9 13 0E AD 13 10 AE 15 0D AE 13 13 AE 11 13 AE 12 0E B0 17 10 B3 17 17 B5 18 1A B8 1B 1C BA 1D 1E BD 22 22 BF 21 22 CA 31 39 D4 3F 46 D6 3D 40 D7 39 3D D8 3A 3F D9 38 3D D9 38 3C D9 38 38 D9 37 35 DC 39 38 DB 40 2B D3 5E 1C D7 78 26 DC 7A 1A E5 82 19 E3 85 19 DA 7C 10 D6 73 07 CF 65 00 C8 5C 00 C3 55 00 C0 51 00 BB 50 00 B4 4C 00 AE 47 00 A7 3F 02 9E 38 03 9A 33 02 96 2A 00 B6 4B 06 FC 9E 16 FF A8 02 FF AC 00 FF B3 00 FF B5 00 FF B8 00 FF BA 00 FF BC 00 FF C2 00 FF C7 03 FF CC 22 FF D3 48 FF DC 60 FF DF 67 FF E0 6A FF DF 6A FF DE 63 FF DA 59 FF D5 46 FF D4 38 FF D5 38 FF D6 3E FF D7 39 FF D7 3A FF D8 3D FF DA 39 FF DB 3F FF DE 4E FF E0 55 FF E1 5F FF E3 69 FF E3 69 FF DF 64 FF E1 72 FF E2 78 FF E0 7B FF DC 6B FF D9 5F FF DE 72 FF DF 73 FF DF 6A FF DA 58 FF D0 3A FF CB 28 FF CA 13 FF C7 0B FF C5 04 FF C5 00 FF C4 00 FF C2 00 FF C0 00 FF C2 00 FF BF 00 FF BE 00 FF BD 00 FF BE 00 FF BF 00 FF BF 00 FF C0 00 +E8 60 00 E4 5B 00 C8 52 00 82 3A 00 5E 35 02 6F 2D 0D 8C 1E 1D 9E 2A 31 98 27 31 9B 29 30 98 24 29 90 1E 22 8F 1B 26 8A 13 1A 88 0F 13 85 0D 15 82 0E 15 7E 10 11 7A 11 11 76 12 0F 76 13 0C 76 12 09 75 12 0B 72 12 0F 70 13 0C 6F 12 10 6B 12 12 69 14 17 67 14 10 69 15 0E 6A 12 0E 68 10 0D 67 0F 0A 69 10 0F 6A 12 12 6A 12 12 6D 13 11 71 15 0F 72 15 0C 72 17 10 70 16 16 71 17 13 72 17 10 72 16 12 6F 15 13 6C 14 11 6D 1B 14 69 1A 14 63 15 11 61 11 0F 5F 10 0D 5F 0F 0D 5E 0E 13 5B 0E 11 58 0D 0B 57 0E 09 55 0E 0B 52 0B 0E 4F 0B 10 52 0D 0E 50 0D 0E 4C 0B 12 4B 0B 0F 4C 08 11 4C 08 13 49 0A 11 47 0C 0D 42 0E 0D 45 0E 0F 4A 0D 12 52 0C 10 5B 0E 0C 60 0C 0F 5E 0D 0D 5E 0E 0E 65 0D 0D 69 0C 0D 6A 0C 0E 6C 0F 0D 6D 11 11 71 0E 12 77 0D 12 76 0F 14 73 10 11 72 10 10 74 0E 11 72 0E 0C 71 0A 0D 6A 09 0D 6B 09 0C 79 12 13 89 14 14 92 0D 12 96 0A 14 9A 0C 16 A1 11 11 A4 0F 13 A6 10 15 A9 13 18 A9 12 16 A8 0E 10 AB 10 0F AE 13 1A AD 14 1C B0 17 1B B7 1C 1B B7 1B 1B B7 1D 20 BD 1F 21 C6 2C 31 D2 3D 43 D6 40 47 D6 3B 40 D7 38 3A D7 36 3C D8 37 3C DA 38 3C D9 35 3B DB 37 3D D2 3C 1C CC 5A 08 D4 72 1D D7 72 0E DA 70 01 D7 6D 02 D1 65 00 CE 5E 00 C9 58 00 C1 54 00 BE 51 00 B9 4B 00 B5 44 00 A8 3B 00 9A 31 02 95 2A 05 90 27 06 8E 1F 03 9B 27 02 E9 83 17 FF A6 03 FF AA 00 FF B0 00 FF B5 00 FF B8 00 FF BB 00 FF BE 00 FF BF 00 FF C2 00 FF C6 04 FF CE 26 FF D8 48 FF DE 62 FF E0 69 FF DD 62 FF D9 56 FF D6 41 FF D3 38 FF D4 36 FF D4 2F FF D5 29 FF D5 2A FF D6 2B FF D8 36 FF D7 33 FF D9 31 FF DC 3E FF DD 47 FF E1 58 FF E3 6B FF E5 74 FF E7 76 FF E1 6E FF DC 63 FF DD 6B FF DE 72 FF DF 6C FF D8 59 FF D4 51 FF D3 4F FF D3 44 FF CF 39 FF CE 29 FF CB 1B FF C8 0D FF C5 00 FF C3 00 FF C2 00 FF C2 00 FF C1 00 FF BF 00 FF BE 00 FF BD 00 FF BE 00 FF BD 00 FF BC 00 FF BD 00 FF BE 00 FF BC 00 +EB 62 00 E7 5F 00 E1 5C 00 C2 4F 00 80 36 00 7A 1D 0E 97 26 38 9B 2B 3A 95 25 32 93 21 2E 91 1A 26 8D 18 24 87 11 22 85 0D 16 81 0E 11 7C 0F 12 78 11 11 75 11 0F 74 10 12 70 12 10 6E 12 10 6E 10 11 6E 10 0D 68 12 0E 65 12 09 65 10 0D 62 11 10 63 10 11 62 10 10 61 10 0F 63 0F 0D 5F 10 0D 60 11 0C 67 12 0E 6A 12 10 69 11 0A 6A 0E 0E 69 0D 0E 6B 0F 0D 69 13 09 67 17 08 69 1A 0A 66 19 0F 63 17 10 61 14 10 61 12 0E 60 12 0C 5F 0F 0D 5D 0D 10 5B 0D 11 57 0E 0F 56 0D 13 54 0D 12 52 0E 11 52 0E 0F 53 0E 0E 52 0E 0D 50 0E 0B 50 0E 0B 50 0D 0D 4D 0B 0F 4A 0A 11 48 0A 12 47 0A 0D 46 0A 11 43 0B 0F 45 0B 0C 4E 0B 0E 58 0C 0F 5E 0F 14 5F 0D 16 5E 0D 0F 60 0E 0B 60 0D 0B 61 0D 0B 63 0F 0A 65 0E 0A 68 0E 0C 6D 10 0D 6D 0F 0D 70 0D 10 74 0C 15 72 0E 12 70 10 0F 70 0F 13 72 0E 12 6F 0C 0E 6C 0F 0F 69 15 16 63 0B 0A 66 0D 0D 79 15 14 87 12 11 8D 0D 0F 92 0E 13 99 11 17 9E 0E 17 A2 0F 18 A0 10 15 A1 0E 15 A4 0F 10 A7 0E 13 A7 0E 17 A7 11 18 AA 12 17 B1 16 1A B5 17 21 B7 1B 22 B9 1C 21 BF 20 27 CE 35 40 D4 41 4B D5 39 42 D5 36 3B D7 37 40 DB 37 3F DD 39 3E D8 37 39 D6 38 37 CC 3A 27 C1 4A 03 C9 5D 06 CF 62 06 CF 61 00 CE 5D 00 C8 57 00 C5 53 00 C3 4F 00 BD 4A 00 B4 45 00 A6 38 00 9B 2B 01 95 24 03 90 1F 07 90 1B 0B 91 16 0E 91 0C 04 C8 52 0C FF A1 14 FF A4 01 FF AD 01 FF B3 00 FF B6 00 FF BA 00 FF BD 00 FF BD 00 FF C1 00 FF C5 00 FF C9 10 FF D1 33 FF D8 4E FF DB 61 FF DB 62 FF D7 52 FF D5 42 FF D4 2E FF D5 2C FF D3 2F FF D4 32 FF D7 31 FF D7 30 FF D7 33 FF D8 2A FF D8 23 FF DA 31 FF DC 43 FF DD 4D FF E1 5B FF E3 64 FF E2 61 FF DE 5A FF DF 63 FF DC 5B FF D7 4A FF D3 43 FF D5 49 FF D5 46 FF CF 3D FF CF 3B FF CC 2E FF CA 1B FF CB 1E FF CB 1E FF C6 10 FF C4 00 FF C2 00 FF C1 00 FF BF 00 FF BF 00 FF C1 00 FF C0 00 FF BD 00 FF BC 00 FF BC 00 FF BB 00 FF BD 00 FF BD 00 FF BA 00 +EF 66 00 EB 62 00 E5 5C 00 DD 59 00 B8 49 01 8A 19 09 8F 18 27 90 1E 2B 8D 1C 2A 8A 15 25 88 12 1E 84 10 15 80 0F 14 7A 10 10 79 10 0E 77 11 0E 73 11 10 6F 11 13 6B 10 12 69 10 0C 66 10 0B 62 0F 0D 63 10 0D 61 0E 13 5F 10 0D 5D 0F 0A 5D 0F 0B 5E 0F 0B 5E 10 0C 5D 10 0E 5A 0F 10 5B 0E 0C 5E 10 09 5E 13 09 62 19 0C 65 20 08 6C 2F 0A 76 42 09 80 56 0C 85 64 16 8B 71 1B 90 76 16 90 77 13 8F 73 15 8E 70 1D 85 67 1E 7D 56 18 6F 43 09 65 33 08 5A 25 09 54 19 08 4E 12 0A 4C 0F 08 4C 0B 0C 4F 0D 10 4F 0E 0D 4D 0F 0D 4B 0F 0F 4A 0D 0C 48 0D 0A 46 0B 0C 46 09 10 49 09 14 48 09 0B 4D 0A 0B 54 0D 0B 61 10 10 69 10 13 6A 10 12 65 11 0F 63 0E 0E 5F 0D 0D 5E 0C 0F 62 0A 10 64 0C 0C 63 0C 0A 66 0B 0B 6A 0B 0F 6C 0C 0F 6B 0D 0E 6B 0D 10 6D 0D 15 6E 0D 13 70 14 16 72 1A 1F 6D 16 17 6C 21 17 6C 3B 1A 7C 57 30 68 30 14 5C 0A 05 68 0E 10 79 13 16 83 10 11 8B 0F 10 91 13 13 95 12 12 9A 0F 14 9A 0E 15 9A 0C 16 9E 0D 12 9F 0C 13 9F 0E 15 A1 10 13 A4 12 12 A7 16 18 AD 18 1A B3 19 1C B9 1C 1F BD 1F 20 C7 2E 31 D0 3E 45 D3 3A 45 D5 36 3E D5 36 3B D6 37 38 D7 36 38 D7 37 3C D6 37 3B D0 37 30 BA 3A 0E B4 46 00 B8 4B 00 BF 4F 00 C0 4F 00 BC 4D 00 B8 4A 00 B0 3F 00 A7 34 01 9D 28 02 97 1C 06 96 19 0D 97 17 0E 99 15 0F 96 10 10 95 09 09 AB 25 05 F6 8E 1C FF 9E 02 FF A8 00 FF B0 00 FF B4 00 FF B7 00 FF B9 00 FF BE 00 FF C1 00 FF C2 00 FF C6 09 FF CC 15 FF D1 31 FF D4 47 FF D7 50 FF D5 4C FF D3 41 FF D4 37 FF D5 2D FF D7 30 FF D4 2E FF D4 28 FF D5 1F FF D6 26 FF D6 21 FF D7 22 FF D9 2E FF DB 3F FF DA 45 FF DE 4C FF DE 53 FF DE 53 FF DD 4E FF DC 4B FF D5 42 FF D3 3E FF D2 3C FF CE 2B FF C9 15 FF C9 15 FF CE 2A FF CD 2C FF CB 2A FF C9 1A FF C8 16 FF C6 0F FF C4 01 FF C2 01 FF C0 00 FF BF 00 FF BE 00 FF BE 00 FF BE 00 FF BD 00 FF BE 00 FF BD 00 FF BB 00 FF BC 00 FF BE 00 FF BA 00 FF B9 00 +F1 67 00 ED 65 00 E7 5F 00 E4 5A 00 D6 54 02 96 1B 04 80 0D 16 85 14 19 87 12 19 80 10 1A 7C 12 18 7B 11 12 78 11 0E 74 11 0D 72 12 0E 6E 12 0D 6C 10 0D 63 10 0D 60 12 0E 5E 12 0D 5C 0F 0B 5D 0E 0B 5B 0E 08 5B 10 0A 59 10 0C 58 0E 10 59 0F 0F 56 11 0B 57 11 08 53 0F 09 53 11 0D 58 16 07 5E 25 05 68 41 08 79 5E 11 88 76 17 93 85 11 A0 91 0C A2 99 0D A6 A1 19 AE A9 28 B5 AE 23 B8 B5 23 BD B9 2B C1 BC 3A C1 BC 3C BB B5 34 B3 AD 2E AA A3 28 9F 95 20 90 84 17 7E 6D 10 6B 55 0D 5B 39 08 4F 21 06 48 13 07 47 0F 08 47 0D 0E 43 0E 0E 40 0C 0F 42 0A 0F 44 09 0C 4C 0B 0C 5E 0C 0F 72 14 0E 7A 18 11 7A 17 0F 70 14 0D 6A 12 0E 66 10 0D 65 0E 0B 61 0E 0D 60 0E 0E 60 0C 0E 61 0B 0F 5F 0C 0B 61 0B 0E 67 0C 0E 6D 1A 1A 71 2B 28 86 48 43 7B 3C 35 7F 42 38 96 5F 51 A5 75 64 95 66 51 96 70 4D 9F 85 58 9B 87 52 82 69 3C 60 2B 12 58 0A 0C 61 0C 12 74 13 15 85 14 14 89 11 14 8B 13 14 90 11 11 93 0F 15 95 0D 1A 97 0A 17 99 0B 13 9A 0E 0F 99 10 11 9F 10 0C A1 14 11 A5 19 1B B0 19 22 B6 1B 1D BC 20 1E C4 2B 29 CE 3C 3D D3 3E 43 D3 35 3B D4 34 39 D4 36 39 D7 3A 3C D4 38 3B D5 36 3A D4 34 35 CC 32 2F BA 2C 19 A6 24 06 A3 2A 02 A8 35 02 AA 36 00 A7 2F 00 A3 22 04 A0 18 0C 9F 14 0E A0 11 0F A0 12 12 9F 12 15 9D 10 17 98 10 11 97 0E 02 D3 5B 14 FF A0 13 FF 9E 00 FF AC 00 FF B1 00 FF B4 00 FF B9 00 FF BB 00 FF BD 00 FF C1 05 FF C4 0C FF C9 19 FF CE 21 FF D0 2B FF D1 32 FF D3 3B FF D3 37 FF D1 28 FF D3 29 FF D3 28 FF D3 27 FF D2 1E FF D3 24 FF D2 17 FF D3 14 FF D5 15 FF D6 1E FF D9 32 FF DA 37 FF DA 41 FF D9 46 FF D9 47 FF D8 42 FF D6 43 FF D7 42 FF D3 31 FF CE 24 FF CE 1C FF CE 1A FF CB 0E FF C9 11 FF CC 1D FF CB 1A FF CA 19 FF C9 18 FF C7 08 FF C5 01 FF C4 00 FF C4 04 FF C1 01 FF BE 00 FF BE 00 FF BD 00 FF BA 00 FF BC 00 FF BC 00 FF BB 00 FF BB 00 FF BD 00 FF BD 00 FF BA 00 FF B9 00 +F5 69 00 F1 67 00 EC 62 00 E9 5B 00 DE 59 02 9E 27 06 77 0A 0F 7D 0D 15 7C 10 09 78 1D 16 72 1B 14 6F 11 11 6F 10 0F 6B 11 09 65 12 0A 60 13 08 5F 11 08 5C 11 0B 59 12 0A 54 14 0B 52 12 0A 54 10 09 53 10 0C 50 12 0B 4F 11 0B 51 10 0B 4F 0F 0A 4D 0F 07 52 16 02 5D 31 07 71 51 10 80 69 0C 8B 7D 0F 99 8E 18 A2 95 1D A6 9B 17 A9 9E 14 A9 9F 16 A8 A3 1C AB A3 1E AE A6 17 B3 AC 1C B9 B5 23 BB BA 2B BD BB 36 C1 BD 37 C2 C2 3F C0 C2 42 BC BF 3D BF BE 3B BE BC 37 BD B7 33 B3 B0 2D AA A3 23 98 8E 20 7A 6D 12 5C 42 07 43 1A 06 3F 0B 08 47 0A 0D 56 0B 10 64 0E 12 70 10 1D 7B 13 1C 82 18 14 7F 18 12 77 15 13 70 13 10 6C 10 0F 6B 0E 0C 66 0D 0D 63 0D 0F 62 0D 11 5F 0B 0E 5D 0A 0E 5E 0E 12 5F 1A 18 67 2D 19 81 57 39 93 72 5A 9A 7E 67 94 75 5C 94 72 5A A3 84 6C A6 87 69 AF 8E 6A A8 89 62 A2 86 57 A6 90 58 D0 BD 8D AB 91 68 6D 3D 21 57 1E 14 57 11 0E 66 10 10 78 12 15 80 13 13 86 12 13 8B 11 18 8D 0F 19 8F 0D 19 91 0D 17 92 0D 13 92 0D 15 98 0E 0F 9A 15 10 A1 1B 1D AD 1C 22 B5 1B 1A BD 20 21 C1 29 2C C9 39 3C D1 41 44 D0 39 3B D1 34 39 D4 37 41 D7 3A 40 D5 39 38 D5 3A 3B D3 38 35 CC 2E 30 C1 21 22 B3 14 18 A9 10 13 A7 13 11 A7 14 0B A9 12 09 A9 10 0D A9 10 12 A7 11 14 A3 10 10 9F 12 0F 9D 12 12 9B 0E 16 9C 0A 0E B0 23 04 F7 8A 18 FF 9E 01 FF A4 00 FF AE 00 FF B0 00 FF B4 00 FF B7 00 FF BC 00 FF BD 00 FF C1 05 FF C7 10 FF C9 1D FF CC 22 FF CD 28 FF CF 2D FF CF 27 FF D0 20 FF CF 1B FF D0 21 FF D1 24 FF D1 1A FF D1 15 FF D1 1C FF D0 1C FF D2 11 FF D5 17 FF D5 1E FF D6 23 FF D6 2C FF D8 32 FF D7 37 FF D7 3F FF D6 36 FF D2 2D FF D2 2B FF CE 19 FF CB 0D FF C9 01 FF C8 01 FF C8 01 FF C9 08 FF CB 0C FF C7 06 FF C6 06 FF C5 05 FF C2 04 FF C0 00 FF C0 00 FF C0 00 FF BE 00 FF BD 00 FF BA 00 FF BB 00 FF BE 00 FF BD 00 FF BC 00 FF BB 00 FF B9 00 FF BA 00 FF B8 00 FF B8 00 FF B9 00 +F8 6B 00 F3 68 00 EF 64 00 EB 5D 00 E5 5B 01 BA 41 02 7A 0D 05 73 0E 12 6F 18 14 6D 1D 11 6C 1B 08 69 1D 05 67 1D 07 6B 24 07 6A 26 04 63 26 05 5F 24 07 61 24 07 62 22 08 5D 22 07 5F 23 03 5D 21 06 59 1F 09 55 1D 06 51 1E 04 53 1B 05 54 1C 04 5A 32 06 79 5D 10 93 86 19 9E 97 1A A5 A0 1D A8 A6 1F A9 A9 22 AC AA 1D AE AB 21 B0 AB 26 B3 AD 22 B4 B2 28 B8 B2 2A B7 B1 20 B9 B2 21 B8 B5 24 BB B9 2F BB BC 36 BB C0 39 BC C0 3E C4 C9 58 C2 C9 58 BF C5 4F C0 C6 52 C2 C2 4C C5 C0 49 C1 BB 3B BF B8 33 B7 B5 2B A8 A6 26 87 81 1B 63 47 09 69 1E 06 7E 12 12 87 13 1A 83 14 19 7A 13 18 79 10 16 79 13 0F 74 13 0F 6D 13 0C 69 11 0D 67 0E 0E 64 0C 11 62 09 11 5F 0A 0F 64 17 13 6B 2E 20 6B 3D 20 66 46 24 65 49 27 69 48 28 7B 5B 3E 7E 59 3E 72 4C 37 6D 45 31 73 4C 3B 75 4F 35 7C 58 3C 7A 5A 3E 73 55 32 76 56 2F A5 80 5E CB A6 86 A4 80 55 77 52 1E 67 3B 11 5C 27 11 5C 12 0E 6D 0E 0E 7A 10 10 81 10 12 86 10 14 88 12 15 8B 12 13 8D 10 16 8D 10 16 90 10 13 96 14 16 9F 1D 20 A9 1C 20 B3 1A 20 BD 1F 28 C3 28 35 C8 34 3D CF 3D 43 CF 38 40 D0 37 40 D2 38 3C D3 38 3C D2 38 39 D0 35 37 CD 34 31 C2 29 25 BA 1B 1C B4 14 1A B1 10 1F B0 10 1A AE 12 19 AD 11 17 AB 12 13 AA 13 0F A7 13 0F A3 10 10 A1 10 10 A0 10 10 9E 0E 10 9F 09 06 D0 50 0D FF 9A 0C FF 9D 00 FF A7 00 FF AD 00 FF B0 00 FF B4 00 FF B6 00 FF B9 00 FF BD 00 FF C2 01 FF C7 0A FF C9 1C FF CB 21 FF CC 1A FF CD 15 FF CD 14 FF CD 1C FF CD 13 FF CD 0D FF CF 05 FF D1 0D FF D1 11 FF D2 0A FF D2 0C FF D2 10 FF D3 11 FF D4 13 FF D4 16 FF D5 25 FF D3 1D FF D0 13 FF D0 1B FF D1 19 FF CE 11 FF CC 09 FF CD 0D FF CE 11 FF CC 09 FF CA 03 FF C7 05 FF C8 0D FF C8 06 FF C5 00 FF C4 00 FF C1 00 FF BF 00 FF BD 00 FF BF 00 FF BE 00 FF BD 00 FF BC 00 FF BC 00 FF BD 00 FF BB 00 FF BC 00 FF BB 00 FF B9 00 FF BA 00 FF B9 00 FF B7 00 FF B7 00 FF B8 00 +FB 6F 00 F6 6A 00 F5 65 00 EE 5F 00 E7 5B 00 D9 54 00 A0 2C 00 71 15 06 68 16 0B 64 1F 09 62 2B 05 61 34 02 5F 38 02 62 39 03 5D 38 00 5A 37 00 57 36 01 58 37 01 55 38 03 4E 36 03 50 35 02 4C 32 03 4C 2F 03 47 2C 01 40 29 00 55 3A 02 7C 63 10 93 86 1C A2 99 1F A9 A7 21 AB AF 21 AE B1 22 B0 B4 29 B0 B7 32 AE B9 2C B1 B7 2E B2 B4 30 B2 B4 29 B4 B5 2A B7 B5 23 B8 B3 28 B6 B5 2D B4 B6 2C B8 B8 2B B7 B7 28 B9 BA 30 BA BD 35 BF C3 4B CA D0 6A C7 CE 66 C9 CF 65 C5 CB 5C CA CF 66 CB D0 6B C5 CA 58 BC C0 43 BB BB 3E BB B5 2E AB A8 27 94 7D 1A 87 40 0D 84 16 12 83 15 1C 7F 18 1B 7D 11 1A 77 0F 12 71 0F 0C 6D 0F 0D 68 0E 10 65 0D 13 64 0A 13 62 18 13 68 34 1F 6A 47 28 64 48 24 64 47 1D 69 43 21 6B 3C 1E 71 3D 23 81 49 31 74 37 1B 72 37 21 76 41 27 68 38 16 72 42 23 7A 48 27 7E 4D 2F 79 47 2D 72 40 29 78 45 2E 8A 57 3C 82 50 2D 69 3F 18 61 43 11 74 57 26 72 4F 2A 5F 29 0F 5B 0E 05 6A 0E 0B 77 0F 0E 7C 11 11 83 11 16 85 0E 18 86 0C 15 87 0D 11 8D 15 16 9B 1E 21 A7 20 21 AF 1D 23 B8 1D 2C BF 26 33 C7 33 3F CE 38 43 CE 32 3C CE 32 39 CF 36 36 CF 34 39 CF 33 37 CE 35 33 C9 30 28 C1 24 29 B8 1A 20 B5 17 1D B4 18 21 B2 17 19 B0 16 15 AE 13 13 AD 13 12 AB 13 12 A8 12 10 A5 10 10 A4 0E 15 A4 0F 14 A1 0C 0C A8 17 03 ED 78 10 FF 98 02 FF 9F 00 FF A8 00 FF AE 00 FF B2 00 FF B4 00 FF B7 00 FF BC 00 FF C1 01 FF C6 08 FF C7 0E FF C9 1C FF CA 20 FF CA 11 FF C9 02 FF CA 04 FF C9 0B FF CB 04 FF CC 05 FF CE 05 FF D0 0B FF D1 05 FF D2 02 FF D4 03 FF D4 08 FF D3 07 FF D2 03 FF D0 03 FF D1 0C FF CF 0A FF CE 02 FF CD 00 FF CE 01 FF CB 00 FF CC 00 FF CA 01 FF CC 07 FF CC 0A FF CB 0A FF C9 12 FF C6 08 FF C4 02 FF C3 00 FF C3 00 FF C1 00 FF C0 00 FF BF 00 FF BE 00 FF BD 00 FF BD 00 FF BC 00 FF BB 00 FF B8 00 FF B6 00 FF B7 00 FF B8 00 FF B9 00 FF B8 00 FF B9 00 FF B7 00 FF B6 00 FF B7 00 +FE 73 00 F9 6C 00 F4 65 00 EE 5E 00 E7 5A 00 DF 53 00 CF 4B 01 98 34 01 64 22 02 55 31 07 4B 32 00 4D 33 00 50 35 00 50 36 00 4C 36 00 4A 36 00 4A 36 00 4C 37 00 4A 36 00 43 36 01 41 33 00 3E 30 02 3E 2E 02 38 2C 01 51 47 0A 84 78 13 9C 90 10 A0 9F 16 A5 A9 20 AB B1 24 B2 B7 2D BB BE 3F BA C1 42 BF C5 52 C0 C6 54 BF C6 57 BC C5 53 BB C4 4D BA C4 4A B9 BF 39 B8 B9 36 B6 B8 37 B6 B5 29 B6 B3 1B B5 B2 0B B5 B0 0E B4 B3 1A B8 BA 2E BD C3 44 C1 C3 3F C4 C3 40 C1 C4 41 C1 C6 4B C5 C8 55 C8 CB 59 C2 CA 5E BF C4 51 BF BD 34 BB B5 24 B5 B1 26 A6 9E 1E 8D 64 12 7F 2C 12 81 18 20 7B 15 1C 74 13 15 71 10 10 6F 0C 13 69 0D 13 65 10 0F 65 29 18 66 48 29 63 4F 23 5D 42 1B 66 3D 1E 6D 3C 19 6F 32 17 72 2E 17 7B 39 25 68 2C 17 5F 2E 13 55 30 0F 52 34 10 4A 30 06 4D 33 10 4B 2F 0F 4F 33 15 53 36 14 52 32 0F 53 31 13 63 42 26 5B 3B 1C 42 2D 0D 44 33 0A 56 40 09 7E 68 26 88 74 36 63 42 1B 4F 15 07 5F 0A 09 6E 0B 11 77 0E 14 7B 0D 15 7C 09 13 7D 09 10 85 15 17 96 23 20 A7 27 26 AF 21 24 B6 20 26 BE 26 2B C4 2C 34 C9 30 38 CA 2E 33 CC 2F 38 CC 30 3A CA 2E 33 CB 30 2D CA 2F 2B C4 2B 27 C0 26 24 BB 20 1F B7 1D 19 B5 1C 19 B2 19 1B B1 15 1B AE 13 19 AD 11 17 AC 11 13 A9 12 11 A8 10 11 A9 0E 10 A5 0F 11 A3 0A 07 C0 32 05 FD 8A 0D FF 96 00 FF 9F 00 FF A8 00 FF AD 00 FF B1 00 FF B4 00 FF B8 00 FF BE 00 FF C2 09 FF C5 13 FF C7 0B FF C7 05 FF C6 0F FF C7 0A FF C8 00 FF C9 00 FF C9 00 FF CC 02 FF CE 09 FF CF 0C FF D0 0B FF D0 09 FF D2 0D FF D4 0A FF D2 10 FF D3 0F FF D1 07 FF CD 01 FF CD 00 FF CD 00 FF CC 00 FF CB 01 FF CB 02 FF C9 00 FF C9 00 FF C7 00 FF C8 00 FF C7 00 FF C9 00 FF C5 01 FF C3 00 FF C2 00 FF C2 00 FF C2 00 FF C1 00 FF C0 00 FF BE 00 FF BC 00 FF BC 00 FF BA 00 FF BC 00 FF BC 00 FF BB 00 FF B7 00 FF B7 00 FF B6 00 FF B7 00 FF B6 00 FF B6 00 FF B5 00 FF B6 00 FF B7 00 +FF 75 00 F9 6D 00 F5 68 00 EF 60 00 E7 59 00 DF 52 00 D6 4B 00 B5 43 01 67 29 00 49 29 00 4A 2E 00 4E 2F 00 4E 32 00 4D 34 00 4E 32 00 4C 33 00 49 34 00 49 35 00 47 35 00 43 34 00 45 34 00 40 30 00 3D 2D 00 57 4A 07 87 7D 1C 93 8D 18 9E 99 17 A4 A4 10 AD B1 1B B1 B6 25 BB C0 3D C5 C8 54 C4 CB 5A C8 D3 6F C9 D3 79 C6 D2 73 CA D3 72 CE D3 75 CD D3 71 C8 CF 64 C2 C8 57 B8 BF 44 B6 B9 2E B4 B3 21 B2 B0 18 B1 B0 0C AF AF 08 B3 B4 12 B7 BC 29 BD BD 33 C3 BE 35 C4 C0 3A C5 C2 3B C3 C0 38 C1 BE 36 BE BF 3F C0 C1 43 C3 C1 40 BB B8 2D B9 B1 21 B1 B2 24 AE AA 28 9B 83 1E 7E 35 0F 76 14 17 74 0F 18 6E 10 15 70 1F 1D 68 21 15 66 3B 1E 5E 49 20 5D 48 22 5E 3C 17 5F 33 18 67 2D 1A 67 1E 11 61 11 14 5D 10 13 56 21 14 47 2D 0A 47 2F 09 41 30 0B 3D 2F 07 3B 2F 08 35 2B 0A 37 2C 09 39 32 0D 37 34 0A 32 2F 06 30 2D 09 36 32 0F 35 33 0C 2E 2F 0C 31 2D 0B 3B 2F 0A 4C 3C 0C 71 63 29 7E 6C 2F 6C 4C 1D 4F 19 07 55 0B 0F 65 08 14 72 08 14 77 06 13 7B 06 13 82 0E 1C 96 1E 28 A5 26 29 AE 1F 23 B6 1B 25 BE 21 29 C3 25 2B C4 25 2D C8 2A 35 CA 2F 34 C8 2D 32 C7 2D 33 C7 2C 33 C5 29 31 C1 26 2E BD 23 27 BB 21 20 B9 1E 1C B5 1B 1D B2 18 1A B0 15 15 AF 15 13 AE 12 12 AD 11 12 AB 11 13 A9 0F 10 A8 10 11 A3 0F 17 A3 0D 05 D9 55 0D FF 8F 06 FF 94 00 FF A1 00 FF A9 00 FF AD 00 FF B0 00 FF B5 00 FF BA 00 FF BE 02 FF C1 06 FF C3 10 FF C4 06 FF C6 00 FF C7 03 FF C6 02 FF C8 00 FF CA 00 FF CC 04 FF CF 06 FF CF 01 FF D0 02 FF D2 03 FF D0 02 FF D1 09 FF D2 0F FF D1 0C FF D1 03 FF D0 01 FF CF 01 FF CC 00 FF CC 00 FF CA 00 FF C7 00 FF CA 03 FF C9 04 FF C7 00 FF C4 00 FF C5 00 FF C7 00 FF C3 00 FF C1 00 FF C0 00 FF C1 00 FF C1 00 FF C0 00 FF BE 00 FF BF 01 FF BF 02 FF BC 00 FF BC 00 FF BA 00 FF BA 00 FF BA 00 FF B8 00 FF B8 00 FF B8 00 FF B6 00 FF B5 00 FF B5 00 FF B5 00 FF B4 00 FF B4 00 FF B2 00 +FF 77 00 FB 6E 00 F4 69 00 EF 61 00 E8 59 00 DF 51 00 D7 49 00 C1 44 01 7E 2E 02 4A 25 00 48 2A 00 49 2D 00 4D 2F 00 4A 30 00 4B 31 00 4C 2F 00 48 31 00 46 31 00 48 31 00 43 32 00 40 33 00 3C 2F 00 51 43 05 85 79 14 8F 84 0D 99 96 18 9F A0 11 AA AB 12 B0 B3 1D B8 BB 33 BE C4 45 C2 CC 55 C4 CD 5A C5 CA 55 C6 C9 53 C4 C8 51 C3 C9 57 C2 C9 5D C4 CA 5C C7 CF 60 C7 CD 5C BD C3 42 B8 BC 31 B2 B4 1D AF B0 10 AD AF 0F AC AC 16 AB AB 0B B1 B2 0F B5 B6 23 C0 BB 38 C8 C3 47 C4 C0 3B C1 BE 32 C3 BF 38 C7 C0 40 C2 BD 32 C2 BC 34 BD B6 2D B8 B3 22 B4 B2 1C B5 B3 25 B0 AD 27 99 88 23 78 38 08 73 1A 0D 7F 42 2E 83 66 4A 65 4F 28 5D 48 1C 5C 46 1F 5C 3B 16 71 4B 27 86 63 41 80 53 39 73 35 20 57 10 06 4D 1A 0B 4B 30 12 42 35 0C 39 31 0C 35 2D 0C 36 2D 08 34 2C 09 33 2F 07 3E 37 0A 3F 3A 0E 39 35 0D 32 30 07 34 34 06 3B 3B 09 3D 3D 0A 41 40 11 40 3E 14 39 35 0D 34 2D 0B 44 37 0C 65 54 15 7A 62 22 67 44 13 54 25 0D 58 13 14 5A 06 13 61 08 12 6D 10 12 7B 2A 20 92 43 2F 9E 4B 32 A3 46 30 A5 3F 34 AA 3A 37 B3 36 31 B7 2F 2C BE 2A 2D C0 2A 2D C5 26 2D C5 28 30 C6 29 31 C4 27 2E BE 22 29 BA 1F 23 B8 1F 20 B6 1D 1C B4 1A 1D B3 18 17 B1 16 15 AF 15 10 AF 13 0F AC 11 0F AB 12 10 AA 0F 10 A7 10 11 A5 0D 13 A9 17 03 EA 6E 13 FF 8D 02 FF 97 00 FF A2 00 FF A7 00 FF AD 00 FF B1 00 FF B6 00 FF BB 00 FF BD 02 FF C0 0B FF C3 10 FF C5 0A FF C6 07 FF C7 03 FF C6 02 FF C7 03 FF CC 0A FF CE 05 FF D0 05 FF D2 04 FF CF 03 FF D0 03 FF D1 02 FF D1 00 FF D2 03 FF D1 07 FF D1 04 FF D0 01 FF CF 01 FF CB 00 FF CA 00 FF CA 00 FF C7 00 FF C5 00 FF C6 00 FF C6 00 FF C5 00 FF C3 00 FF C5 00 FF C3 00 FF C2 00 FF C1 00 FF C0 00 FF BD 00 FF C0 00 FF C1 00 FF BE 00 FF BF 00 FF BC 00 FF B8 00 FF BA 00 FF B9 00 FF B7 00 FF B6 00 FF B6 00 FF B7 00 FF B7 00 FF B5 00 FF B4 00 FF B5 00 FF B3 00 FF B3 00 FF B2 00 +FF 7A 00 FB 72 00 F5 6A 00 F2 63 00 EB 5A 00 E1 51 00 D6 48 00 C3 43 00 88 32 01 49 20 00 43 27 00 46 2B 00 4D 2D 00 4B 2E 00 46 30 00 49 2E 00 44 30 00 43 30 00 45 2F 00 45 30 00 44 2E 00 53 3A 02 7E 6D 0C 8C 85 08 98 91 12 9C 9E 14 A2 A5 10 AE AE 22 B5 B7 36 BB BF 47 BF C4 4A C0 C3 40 BF C0 35 C1 C0 36 C1 C2 39 C2 C4 3F C3 C5 41 C2 C3 3D C2 C3 39 C1 C5 3B BE C2 3D BA BD 36 B9 BC 31 B2 B4 22 AE AE 15 A9 AA 0E A8 A8 05 A7 A6 00 AA A9 03 B1 AF 1C B2 B1 25 B4 B3 26 B2 B3 1F B3 B3 22 B9 B5 29 C1 B7 36 C7 BC 40 BE B8 34 B4 B3 2C B4 B3 28 AF AD 1C B2 B0 23 AF AA 1A B3 A8 29 95 7F 20 91 6E 3B 9A 88 5B 65 56 23 55 42 17 4F 33 0E 61 3D 16 87 63 35 AC 95 64 98 7F 44 AA 95 5B B1 9D 69 97 7E 52 51 3E 12 3C 2F 07 3A 2D 0D 39 2D 08 37 2A 07 35 27 05 36 2C 07 3D 32 0C 3A 34 0C 37 33 0A 35 2F 09 35 2F 04 3F 3B 06 44 42 07 49 48 0D 54 50 16 4F 48 10 3F 3B 09 34 30 0C 38 2F 0B 4B 3B 07 61 4E 10 74 59 21 7B 48 23 71 36 20 63 34 20 75 5D 31 8D 81 3E A6 9E 50 B3 B1 64 B4 B2 61 B2 B1 5C AF AC 58 AD A7 59 AF A3 5D B1 97 5B B3 83 4E B3 66 42 B7 47 3A BE 30 34 C1 26 2C C0 20 26 BD 1C 22 B8 19 1E B3 19 1D B2 19 1A B2 18 19 B2 18 11 B1 16 13 AE 14 17 AE 13 11 AC 11 0B AB 11 0B AA 10 0E A8 0F 11 A4 0B 0E B6 28 05 F6 7B 11 FF 8C 01 FF 97 00 FF A2 00 FF A9 00 FF AE 00 FF B1 00 FF B5 00 FF BA 00 FF BE 00 FF C1 03 FF C2 0A FF C3 11 FF C5 16 FF C5 09 FF C8 02 FF C8 01 FF CD 05 FF CD 04 FF D0 04 FF CF 02 FF CF 03 FF CF 03 FF CF 02 FF D1 02 FF D2 05 FF D1 08 FF CF 01 FF CD 05 FF CD 0B FF CA 02 FF C8 00 FF C6 00 FF C7 00 FF C7 00 FF C6 00 FF C5 00 FF C4 00 FF C2 00 FF C0 00 FF C0 00 FF BF 00 FF BE 00 FF C0 00 FF C0 00 FF BF 00 FF BD 00 FF BC 00 FF BB 00 FF BB 00 FF B8 00 FF B7 00 FF B8 00 FF B6 00 FF B6 00 FF B6 00 FF B5 00 FF B5 00 FF B4 00 FF B2 00 FF B3 00 FF B1 00 FF B0 00 FF B2 00 +FF 79 00 FC 73 00 F6 6D 00 F3 65 00 EB 5D 00 E3 54 00 D5 48 00 C3 42 00 90 33 00 4A 1D 00 40 24 00 43 27 01 45 2B 02 45 2C 00 46 2D 00 44 2D 00 3E 2F 00 40 2D 00 44 2D 00 47 2F 00 56 3D 02 85 6A 0C 90 7E 0B 8F 8A 0B 9C 99 18 9D A1 16 A5 A9 1A B0 B2 26 B8 B9 32 BD BE 3A BE BF 37 BE BD 2C BD BA 25 C0 BE 34 D0 C6 45 D9 CD 50 D0 C8 44 CE C7 42 C9 C5 3B C0 BF 28 BD BD 29 B7 BB 2D B2 B8 2C B1 B2 22 AE AC 18 A7 A7 0F A2 A5 04 A2 A2 05 A3 A2 08 A8 A6 0E A7 A6 10 AC A7 16 AE AC 21 B3 AF 21 B9 B2 21 BB B2 22 B9 B4 26 B8 B1 29 B7 B1 28 B4 B1 23 B1 AF 23 B1 AF 1E AE AA 1B AD A1 33 9E 91 4C 8D 7D 4B 8E 79 51 5C 3E 17 49 29 0B 55 33 17 76 57 36 8D 76 4B 95 7B 51 9D 83 52 AA 97 61 A9 94 5A B6 A3 6A 91 80 4A 4D 3C 08 40 30 03 3E 32 06 39 30 09 36 2B 09 3E 34 0F 3E 37 0F 35 32 0A 32 2C 06 33 2E 03 39 33 06 45 3C 05 4D 44 06 51 4B 0C 59 51 0E 56 50 0E 4D 4B 0E 42 43 0E 3A 38 09 3E 35 07 43 39 09 5C 48 15 88 6B 36 9A 89 4E A7 A5 5B B8 BB 64 B8 BD 58 B8 B9 59 AF B2 53 A2 A4 44 9C 9C 3D 98 98 38 98 97 37 9B 9D 45 9B 9E 47 A3 A0 41 AC A8 4E AD A3 57 B1 8B 4D B4 6B 41 B3 46 31 B4 25 24 B2 14 1B B3 14 16 B3 16 13 B2 15 19 B1 15 17 AE 17 0F AD 14 15 AC 12 14 AA 11 0E A9 10 0E A9 0F 13 A4 11 10 A2 09 09 C3 38 0B FB 82 0D FF 8A 00 FF 99 00 FF A4 00 FF A9 00 FF AC 00 FF B0 00 FF B3 00 FF B7 00 FF BC 01 FF C0 01 FF C1 09 FF C2 0A FF C3 07 FF C6 00 FF C8 02 FF C9 04 FF CC 04 FF CE 08 FF D1 0E FF D1 09 FF CF 04 FF CE 00 FF CE 00 FF D0 02 FF CF 00 FF CD 00 FF CE 00 FF CE 04 FF CB 08 FF CA 01 FF C6 00 FF C5 00 FF C7 00 FF C7 00 FF C3 00 FF C3 00 FF C3 00 FF C0 00 FF BB 00 FF BE 00 FF BD 00 FF BE 00 FF BF 00 FF BD 00 FF BA 00 FF B8 00 FF B9 00 FF B9 00 FF BA 00 FF BC 00 FF B8 00 FF B6 00 FF B6 00 FF B4 00 FF B3 00 FF B2 00 FF B3 00 FF B3 00 FF B1 00 FF B1 00 FF AF 00 FF B0 00 FF AF 00 +FE 79 00 FD 74 00 F7 6E 00 F4 66 00 EE 60 00 E4 56 00 D5 4B 00 C2 41 00 94 34 01 4D 1B 01 3E 21 01 3E 25 02 40 26 03 45 27 00 54 2B 00 66 33 00 7A 3D 00 92 45 00 96 4A 02 7B 4C 00 8F 6D 08 A4 7E 06 94 7F 03 94 90 14 9F A1 1A A6 A7 18 AE AC 1C B3 B6 29 B8 BB 30 BC BB 2D BB BA 29 BD BA 2C C2 BD 33 D4 CC 52 EA D9 6D F0 DC 74 EA D9 65 D4 CA 4C C4 C0 3D B9 B4 1E BB B7 1F B5 B5 24 B0 B2 24 B0 AF 20 AC AB 17 A2 A5 0D A0 A2 06 9F 9D 02 A0 9C 03 A0 9D 04 9F 9E 06 A5 A0 0A A7 A5 18 AE AA 20 B3 AD 23 B5 AC 1E B2 AF 20 B3 B0 2A B2 AD 1F B2 AD 1C B3 AE 2B B2 B1 30 A1 9B 30 7D 69 27 7B 67 3D 77 65 45 64 49 30 4A 25 0D 52 33 1D 59 43 29 61 4E 2C 66 4F 25 82 6C 42 8D 71 48 8E 70 42 8D 6F 3D 8E 73 43 92 7D 51 74 62 33 4A 40 0E 3E 36 10 3A 30 0D 37 2F 06 3B 36 0B 36 33 09 34 2F 08 37 34 0A 3B 3D 0A 40 41 0E 46 41 09 4A 44 05 48 48 0A 4F 4C 09 56 54 0C 59 58 15 57 54 15 4C 49 13 3B 38 0A 40 3B 07 72 6F 2A 9B A4 54 A7 B1 55 AA AF 4C A6 A3 41 91 8C 2A 7A 75 1D 72 6D 18 6A 67 16 6B 68 1A 6B 65 17 6A 66 1B 68 65 19 6C 67 17 71 69 14 76 6F 1A 85 81 33 96 93 46 A6 A1 57 AB 9D 59 AF 86 4B AA 55 30 AA 29 1A AF 16 18 B1 13 14 B0 14 10 AE 16 0E AE 13 12 AC 10 16 AB 10 10 A9 0F 0D A6 10 0D A2 0F 0E A1 0B 03 D0 4C 0F FC 84 0B FF 8C 00 FF 9A 00 FF A2 00 FF A7 00 FF AC 00 FF AE 00 FF B3 00 FF B7 00 FF BB 00 FF BF 06 FF C1 0D FF C3 0E FF C3 0C FF C6 03 FF C6 04 FF C8 0A FF CB 08 FF CD 05 FF CD 05 FF CE 08 FF CD 02 FF CE 00 FF CF 04 FF CE 01 FF CE 00 FF CE 02 FF CD 00 FF CB 00 FF C9 00 FF C8 00 FF C7 00 FF C7 00 FF C7 00 FF C6 00 FF C6 00 FF C3 00 FF C1 00 FF BF 00 FF BF 00 FF BD 00 FF BD 00 FF BD 00 FF BD 00 FF BA 00 FF BA 00 FF BA 00 FF B8 00 FF B8 00 FF BA 00 FF BA 00 FF B6 00 FF B5 00 FF B6 00 FF B2 00 FF B2 00 FF B4 00 FF B2 00 FF B2 00 FF B0 00 FF B0 00 FF AE 00 FF AD 00 FF AB 00 +FE 7A 00 FB 74 00 F9 6E 00 F5 68 00 EF 61 00 E5 58 00 D5 4C 00 C3 42 00 95 34 02 4A 1A 01 36 1D 02 45 20 00 6A 2C 00 9B 41 00 C2 53 00 DE 62 00 EE 6A 00 E5 6F 00 AE 63 01 8A 6D 09 9C 7F 11 9C 7D 05 95 86 07 99 96 14 9F 9F 0E AA A9 1B B3 AF 25 B6 B6 26 B7 B8 28 B7 B7 22 BC B8 29 C5 BF 3A D4 C9 44 E9 D4 68 F5 E1 7F F3 E0 82 E0 CE 5E BE B8 32 B2 B1 27 B5 B0 22 B4 AF 19 AF AD 1B AB AC 1F A8 A9 1D A8 A7 18 A3 A3 0E 9E 9E 03 9D 99 00 9D 97 00 9D 96 00 9D 99 01 9D 9B 04 A0 9A 06 A3 9E 0B A6 A0 0D AC A2 11 AE A5 18 AD A7 21 AD A7 16 B1 A9 0F B1 AB 1C AE AB 36 96 8C 3E 89 79 4B 83 74 4D 61 54 2E 55 3E 23 49 2A 12 48 31 1A 3D 2D 18 48 31 19 68 4A 2B 6D 4D 27 83 65 38 97 79 47 A2 85 50 A8 8E 58 AB 92 5E 94 82 4B 61 55 14 3F 38 08 35 2F 08 35 31 08 35 33 04 34 32 06 3B 39 0C 49 47 12 49 4A 0A 4B 49 0C 50 4A 0C 52 4A 07 51 4F 08 54 53 10 59 57 19 5C 5A 1A 5E 57 1D 57 53 1C 50 53 12 7B 81 2C A2 A7 4A A3 A9 44 9C A0 34 84 87 18 78 77 14 78 73 1D 77 70 21 7B 74 26 80 7B 2A 84 7E 2C 83 79 29 82 75 26 83 77 29 86 7B 2D 89 7E 30 82 7A 28 79 73 20 7A 75 24 85 81 35 96 91 43 A1 9B 45 A9 9E 4E AA 87 4D A5 56 33 A9 25 1A AC 13 11 AD 11 16 AC 11 14 AA 12 11 A9 11 0F A7 0D 0E A4 0E 11 9F 0C 13 A1 0E 04 D6 59 0D FD 84 03 FF 8C 00 FF 9A 00 FF A1 00 FF A6 00 FF AB 00 FF AF 00 FF B4 00 FF B6 00 FF BB 01 FF BD 0A FF BF 09 FF C3 0F FF C3 10 FF C5 0E FF C6 03 FF C6 00 FF CA 01 FF CC 04 FF CB 07 FF CC 01 FF CC 00 FF CE 01 FF CE 02 FF CB 00 FF CE 00 FF CF 06 FF CC 05 FF C9 00 FF C7 00 FF C6 00 FF C8 00 FF C9 00 FF C7 00 FF C4 00 FF C6 00 FF C2 00 FF BE 00 FF BD 00 FF C0 00 FF C0 00 FF BF 00 FF BE 00 FF BB 00 FF BA 00 FF BB 00 FF BB 00 FF B6 00 FF B7 00 FF B9 00 FF B9 00 FF B7 00 FF B5 00 FF B5 00 FF B3 00 FF B3 00 FF B2 00 FF B1 00 FF B0 00 FF B1 00 FF B0 00 FF AE 00 FF AE 00 FF AB 00 +FF 7B 00 FE 75 00 F9 6D 00 F5 67 00 EF 61 00 E7 59 00 D6 4B 00 BF 3D 00 95 2E 03 55 14 01 6A 27 00 AC 4A 00 DE 65 00 F6 70 00 FC 70 00 FA 74 00 E8 70 01 A2 61 03 7E 6C 0D 85 7C 16 99 7C 0F 92 80 04 8F 8D 0A 94 98 0E 9B 9C 08 A8 A8 1F AE B1 2B B0 B4 2C B4 B5 2F B4 B6 27 BA B6 23 D0 C3 3C DC CA 50 D7 C7 51 D3 C4 4E CA BF 48 BC B4 30 AF AF 23 B0 AD 21 B0 AB 1C AB A8 12 A6 A4 12 A6 A4 1A A5 A3 18 A4 A2 14 A1 A0 0F 9C 9C 03 9B 96 00 98 92 00 94 91 00 96 92 01 97 93 02 9D 95 00 9F 95 01 9F 99 0C A2 9B 0B A6 9F 0E A6 9E 0F A4 9D 08 A3 9D 0B A8 A4 19 9E 93 30 7E 6C 37 86 70 4D 83 70 47 66 5A 2F 57 45 2A 43 23 12 3F 21 11 3D 23 13 3B 20 0F 65 49 2A 83 67 3C 88 6C 3D 96 7E 4E 99 7D 4C A7 87 55 AA 8F 5A 94 87 45 70 64 15 47 3E 06 2C 2B 03 32 32 07 39 3A 06 46 43 11 4F 48 12 55 51 10 59 55 0F 60 58 15 63 57 13 5F 56 0D 5F 5A 0E 60 5E 13 66 63 1C 66 62 1F 63 5B 1B 5B 58 08 80 85 22 A1 AC 45 A3 AA 3F 96 98 2C 7E 7D 15 79 7A 14 81 81 1B 8A 86 26 8B 84 2C 88 80 2D 7E 76 2F 77 65 2A 80 63 2D 8C 65 2F 92 63 32 90 64 31 8D 6A 32 90 7B 38 93 89 38 90 8A 31 8B 83 2C 90 8A 34 9C 98 42 A1 9E 4C A5 96 4D A8 8C 4E B4 82 5A AD 4A 38 A5 13 19 AB 0E 1A A7 10 19 A4 0F 13 A3 0D 0E 9F 0B 10 9C 0C 11 A2 11 04 DC 5E 0B FB 83 02 FF 8D 00 FF 9A 00 FF 9F 00 FF A4 00 FF AA 00 FF B1 00 FF B4 00 FF B7 00 FF BC 02 FF BC 09 FF BF 05 FF C2 0B FF C4 12 FF C5 0B FF C5 05 FF C5 01 FF C8 00 FF CA 00 FF CA 00 FF C9 00 FF CA 00 FF CE 06 FF CC 02 FF CC 00 FF CC 00 FF CC 02 FF C8 04 FF C5 00 FF C5 00 FF C6 00 FF C7 00 FF CA 01 FF C7 00 FF C5 00 FF C4 00 FF C0 00 FF BF 00 FF BD 00 FF BC 00 FF BF 00 FF BC 00 FF BA 00 FF B9 00 FF B9 00 FF B9 00 FF B9 00 FF B6 00 FF B6 00 FF B6 00 FF B5 00 FF B5 00 FF B3 00 FF B3 00 FF B5 00 FF B2 00 FF B0 00 FF AF 00 FF B0 00 FF AF 00 FF AE 00 FF AD 00 FF AD 00 FF AB 00 +FF 79 00 FE 76 00 FA 6F 00 F7 69 00 F3 63 00 EB 5C 00 DB 4F 00 C2 3F 00 AF 32 00 BD 44 01 EC 69 00 FE 77 00 FF 77 00 FD 78 00 FF 78 00 F2 74 00 AA 63 01 7B 6C 11 7A 79 19 8A 7D 11 98 7C 12 8B 83 0F 8B 8F 0D 94 96 08 9B 9E 10 A6 AB 29 AC AE 2F AD AF 2C B2 B2 25 B5 B2 1C B7 B4 20 C3 BC 34 C7 BD 35 C0 B7 2E BF B5 2D BA B1 25 AF AA 1D AA AC 1E AB A9 17 A8 A4 10 A1 A2 0E A3 9F 06 A6 9D 04 A0 9B 06 9D 98 07 9C 96 03 99 94 02 9A 94 0C 94 8C 01 8E 8C 00 8D 8A 00 91 8B 00 92 90 01 98 94 05 9B 95 06 9C 97 06 9B 96 05 9A 95 03 9B 97 0A 9F 98 08 A5 9C 19 8E 7F 2A 70 5A 28 87 6F 47 8D 75 4E 79 68 45 54 41 25 42 28 16 41 23 15 44 27 16 42 25 14 53 39 21 80 65 41 84 66 39 7A 5B 30 74 57 32 77 5A 36 90 76 46 8B 7D 35 70 65 11 5D 4E 11 30 25 01 37 32 06 50 4E 1B 54 4E 1A 56 4D 0F 5C 55 0F 60 59 0C 73 62 18 85 6A 1F 7C 68 12 78 6D 18 7E 72 1D 78 71 17 72 6B 16 6A 64 19 70 6F 1F 91 94 37 9A 9E 37 90 8F 23 7C 7A 0C 7D 7F 14 86 87 20 87 85 28 83 7A 26 7D 6D 1D 77 60 1F 6D 47 22 8E 54 3D B2 59 4B BF 4B 4D C4 44 4E C1 42 49 B6 43 41 9E 46 34 89 50 29 89 6B 2E 95 8C 42 97 8F 3C 98 94 3B A3 A4 50 9C 96 46 95 7F 3D A4 80 55 B3 8E 6E BD 78 6A 9F 1F 26 A1 09 18 A0 0D 17 9D 0B 10 9B 0C 0E 9B 0D 0E A2 15 02 DF 65 13 F7 83 03 FF 8E 00 FF 98 00 FF 9F 00 FF A4 00 FF AA 00 FF B0 00 FF B5 00 FF B8 00 FF BA 00 FF BC 01 FF BE 00 FF BF 01 FF C1 03 FF C3 02 FF C3 00 FF C5 00 FF C6 01 FF C7 00 FF C8 00 FF C7 00 FF CA 02 FF CA 03 FF CA 00 FF CA 00 FF C8 00 FF C9 00 FF C8 00 FF C6 00 FF C7 00 FF C7 00 FF C6 00 FF C8 00 FF C7 00 FF C6 00 FF C5 00 FF C2 00 FF BF 00 FF BE 00 FF BD 00 FF BE 00 FF BB 00 FF BA 00 FF B8 00 FF B6 00 FF B6 00 FF B7 00 FF B6 00 FF B7 00 FF B4 00 FF B2 00 FF B2 00 FF B2 00 FF B1 00 FF B2 00 FF B2 00 FF B0 00 FF AE 00 FF AD 00 FF AC 00 FF AC 00 FF AC 00 FF AA 00 FF AA 00 +FF 78 00 FF 77 00 FD 73 00 FB 6D 00 F7 6A 00 F4 67 00 F1 65 00 ED 63 00 F5 6C 00 FF 79 00 FF 7E 00 FF 7E 00 FF 7E 00 FF 7F 00 F9 7C 01 B8 66 00 82 6C 05 79 79 0F 7E 7B 11 92 7B 09 93 7E 07 87 86 05 8B 8E 04 93 96 04 9F A0 0F AB A9 28 AE AA 27 AF AC 2A B1 AD 25 B3 AD 1B B6 B0 1B C1 B6 2D BF B3 2A BE B1 29 BE B1 2C B2 AB 22 A8 A6 1D A3 A3 1B A1 9E 10 A2 9C 11 9E 9C 0F 9B 96 05 9B 95 00 96 95 00 95 91 00 97 8F 00 97 8E 01 96 90 07 8E 86 01 8B 86 00 8A 87 00 8C 89 00 8B 8A 00 93 8C 01 96 8E 00 96 8F 00 95 90 01 94 90 00 95 91 00 93 90 00 95 8F 18 91 7E 3E 7E 69 3F 8A 7D 5A 8B 77 55 74 5C 39 60 47 27 4B 36 1D 42 2D 1A 45 2B 17 4A 32 1F 4A 33 1A 66 4C 29 98 7A 4F A0 8B 5A 91 76 43 82 65 34 83 6D 34 77 6D 25 6A 62 0B 67 57 0F 3F 30 03 43 37 06 5B 53 13 56 4E 0D 5B 50 0E 6A 5F 19 6A 60 16 73 5F 1A 8C 69 27 99 77 2D 8F 7F 1D 91 83 25 8A 7F 20 7C 75 17 74 6F 1F 86 86 38 8F 91 35 80 81 12 7F 81 12 85 86 1D 8F 8C 2A 87 84 2D 6C 69 1D 5E 57 19 86 74 42 81 52 2B A1 49 3E CF 54 5D D8 49 5F E1 5B 78 E6 6E 8D E5 6E 8B DE 58 72 CE 41 56 BD 3F 44 96 3C 27 77 43 18 84 68 29 97 87 39 97 90 42 8B 7F 34 94 7C 3A 8C 66 31 98 75 54 CF B7 9C D5 AB 92 9D 28 1D 9C 06 11 9C 0A 16 9B 0D 14 99 0A 13 A2 12 03 DE 65 0E F8 82 02 FF 8C 00 FF 97 00 FF 9F 00 FF A4 00 FF A9 00 FF AE 00 FF B3 00 FF B8 00 FF B9 00 FF BD 00 FF BE 00 FF BF 01 FF C1 04 FF C2 06 FF C3 08 FF C3 00 FF C5 00 FF C5 00 FF C6 00 FF C7 00 FF C9 01 FF CA 01 FF CA 00 FF C9 00 FF C6 00 FF C6 00 FF C8 00 FF C6 00 FF C7 00 FF C7 00 FF C7 00 FF C7 00 FF C6 00 FF C3 00 FF C3 00 FF C1 00 FF BE 00 FF BE 00 FF C1 00 FF C0 00 FF BE 00 FF BC 00 FF B9 00 FF B8 00 FF B8 00 FF B7 00 FF B5 00 FF B5 00 FF B2 00 FF B2 00 FF B1 00 FF B1 00 FF B1 00 FF B1 00 FF B0 00 FF B0 00 FF AC 00 FF AB 00 FF AC 00 FF AC 00 FF A9 00 FF A7 00 FF A7 00 Index: trunk/sim/rtl_sim/colorconv_sim.do =================================================================== --- trunk/sim/rtl_sim/colorconv_sim.do (nonexistent) +++ trunk/sim/rtl_sim/colorconv_sim.do (revision 2) @@ -0,0 +1,43 @@ +# +# ModelSim simulation script +# + +# +# project color_conv. +# + +vlib work + +# Compile +vcom -93 -work work ..\\..\\rtl\\vhdl\\ccfactors_pkg.vhd +vcom -93 -work work ..\\..\\rtl\\vhdl\\colorconv.vhd + +vcom -93 -work work ..\\..\\bench\\vhdl\\colorconv_tb.vhd + +#sim +vsim -t ps tb + +########################################################################### +#add wave -noupdate -divider "Color Converter Signals" +add wave -noupdate -format logic /tb/clk +add wave -noupdate -format logic /tb/rstn +add wave -noupdate -format logic /tb/DATA_ENA +add wave -noupdate -format logic /tb/DOUT_RDY +add wave -noupdate -format Literal -radix hexadecimal /tb/x1 +add wave -noupdate -format Literal -radix hexadecimal /tb/x2 +add wave -noupdate -format Literal -radix hexadecimal /tb/x3 +add wave -noupdate -format Literal -radix hexadecimal /tb/y1 +add wave -noupdate -format Literal -radix hexadecimal /tb/y2 +add wave -noupdate -format Literal -radix hexadecimal /tb/y3 + +WaveRestoreZoom {0 us} {10 us} +TreeUpdate [SetDefaultTree] +update + +set RunLength {1400 us} + +run + + + + Index: trunk/fv/onion_16bit.tif =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/fv/onion_16bit.tif =================================================================== --- trunk/fv/onion_16bit.tif (nonexistent) +++ trunk/fv/onion_16bit.tif (revision 2)
trunk/fv/onion_16bit.tif Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/fv/onion_8bit.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/fv/onion_8bit.png =================================================================== --- trunk/fv/onion_8bit.png (nonexistent) +++ trunk/fv/onion_8bit.png (revision 2)
trunk/fv/onion_8bit.png Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/fv/read_image_16bit.m =================================================================== --- trunk/fv/read_image_16bit.m (nonexistent) +++ trunk/fv/read_image_16bit.m (revision 2) @@ -0,0 +1,10 @@ +X = imread('onion_16bit.tif'); +R = X(:,:,1); +G = X(:,:,2); +B = X(:,:,3); +Y = zeros(size(X,1), 3, size(X,2), 'uint16'); +Y(:,1,:) = R; +Y(:,2,:) = G; +Y(:,3,:) = B; +dlmwrite('x.txt', Y, 'delimiter', ' ' , 'newline', 'pc', 'precision', '%3.4X'); + Index: trunk/fv/read_image_8bit.m =================================================================== --- trunk/fv/read_image_8bit.m (nonexistent) +++ trunk/fv/read_image_8bit.m (revision 2) @@ -0,0 +1,11 @@ + +X = imread('onion_8bit.png'); +R = X(:,:,1); +G = X(:,:,2); +B = X(:,:,3); +Y = zeros(135, 3, 198, 'uint8'); +Y(:,1,:) = R; +Y(:,2,:) = G; +Y(:,3,:) = B; +dlmwrite('X.txt', Y, 'delimiter', ' ' , 'newline', 'pc', 'precision', '%2.3X'); +

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.