OpenCores
URL https://opencores.org/ocsvn/uart8systemc/uart8systemc/trunk

Subversion Repositories uart8systemc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 1 to Rev 2
    Reverse comparison

Rev 1 → Rev 2

/uart8systemc/trunk/testbench/module_tb.v
0,0 → 1,63
 
`timescale 1ns/1ns
module module_tb;
 
reg CLK;
wire RESET;
wire RX;
wire START;
wire [7:0] DATA_TX;
wire [11:0] WORK_FR;
wire TX;
wire [7:0] DATA_RX;
wire PARITY_RX;
wire READY_TX;
wire READY;
 
assign DATA_TX = DATA_RX;
 
initial CLK = 1'b0;
always #(10) CLK = ~CLK;
 
integer i;
 
initial
begin
$dumpfile("module_tb.vcd");
$dumpvars(0,module_tb);
$global_init;
i=0;
end
 
UART DUT(
.CLK(CLK),
.RESET(RESET),
.RX(RX),
.START(START),
.DATA_TX(DATA_TX),
.WORK_FR(WORK_FR),
.TX(TX),
.DATA_RX(DATA_RX),
.PARITY_RX(PARITY_RX),
.READY_TX(READY_TX),
.READY(READY)
);
 
 
always@(posedge CLK)
$reset_uart;
 
always@(posedge CLK)
$execute_uart;
 
always@(posedge CLK)
$global_counter;
 
//FLAG USED TO FINISH SIMULATION PROGRAM
always@(posedge CLK)
begin
wait(i == 1);
$finish();
end
 
endmodule
/uart8systemc/trunk/rtl/UART.v
0,0 → 1,50
/*
Name: Felipe Fernandes da Costa
*/
 
`timescale 1ns/1ns
 
module UART(
 
input CLK,
input RESET,
input RX,
 
input START,
input [7:0] DATA_TX,
 
input [11:0] WORK_FR,
output TX,
output [7:0] DATA_RX,
output PARITY_RX,
output READY_TX,
output READY
);
 
 
uart_rx RX0 (
.PCLK(CLK),
.RESET(RESET),
.RX_I(RX),
.DATA_RX_O(DATA_RX),
.WORK_FR(WORK_FR),
.READY(READY),
.PARITY_RX(PARITY_RX)
);
 
 
uart_tx TX0 (
.PCLK(CLK),
.RESET(RESET),
.START(START),
.WORK_FR(WORK_FR),
.DATA_TX_I(DATA_TX),
.READY_TX(READY_TX),
.TX_O(TX)
);
 
endmodule
uart8systemc/trunk/rtl/UART.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: uart8systemc/trunk/rtl/uart_rx.v =================================================================== --- uart8systemc/trunk/rtl/uart_rx.v (nonexistent) +++ uart8systemc/trunk/rtl/uart_rx.v (revision 2) @@ -0,0 +1,235 @@ + +`timescale 1ns/1ns + +module uart_rx#( + parameter integer WIDTH = 12 + ) + ( + //external input + input PCLK, + input RESET, + input RX_I, + input [11:0] WORK_FR, + output reg [7:0] DATA_RX_O, + output reg PARITY_RX, + output READY + ); + +localparam [3:0] RX_IDLE = 4'b0000, + RX_DETECT_START = 4'b0001, + RX_TAKE_DATA = 4'b0010, + RX_TAKE_PARITY = 4'b0100, + RX_HIGH_DETECT = 4'b1000; + + reg [3:0] state_rx; + reg [3:0] next_state_rx; + + reg [WIDTH-1:0] COUNTER; + reg [3:0] DATA_COUNTER; + + reg AUX; + + +assign READY = (state_rx == RX_HIGH_DETECT & COUNTER == 12'd2)?1'b1:1'b0; + + +always@(*) +begin + + next_state_rx = state_rx; + + case(state_rx) + RX_IDLE: + begin + if(RX_I) + begin + next_state_rx = RX_IDLE; + end + else + begin + next_state_rx = RX_DETECT_START; + end + + end + RX_DETECT_START: + begin + + if(COUNTER == WORK_FR && AUX == 1'b0) + begin + next_state_rx = RX_TAKE_DATA; + end + else if(COUNTER != WORK_FR) + begin + next_state_rx = RX_DETECT_START; + end + else + begin + next_state_rx = RX_IDLE; + end + + end + RX_TAKE_DATA: + begin + + if(COUNTER != WORK_FR && DATA_COUNTER != 4'b1000) + begin + next_state_rx = RX_TAKE_DATA; + end + else if(COUNTER == WORK_FR && DATA_COUNTER != 4'b1000) + begin + next_state_rx = RX_TAKE_DATA; + end + else if(COUNTER == WORK_FR && DATA_COUNTER == 4'b1000) + begin + next_state_rx = RX_TAKE_PARITY; + end + end + RX_TAKE_PARITY: + begin + + if(COUNTER != WORK_FR) + begin + next_state_rx = RX_TAKE_PARITY; + end + else + begin + next_state_rx = RX_HIGH_DETECT; + end + end + RX_HIGH_DETECT: + begin + + if(COUNTER != WORK_FR) + begin + next_state_rx = RX_HIGH_DETECT; + end + else if(COUNTER == WORK_FR && AUX == 1'b0) + begin + next_state_rx = RX_HIGH_DETECT; + end + else + begin + next_state_rx = RX_IDLE; + end + end + default: + begin + next_state_rx = RX_IDLE; + end + endcase + +end + + +always@(posedge PCLK) +begin + + if(RESET) + begin + state_rx <= RX_IDLE; + COUNTER<= {WIDTH{1'b0}}; + DATA_COUNTER<= 4'd0; + DATA_RX_O<=8'd0; + AUX<= 1'b1; + end + else + begin + state_rx <= next_state_rx; + + case(state_rx) + RX_IDLE: + begin + if(RX_I) + begin + COUNTER<= {WIDTH{1'b0}}; + DATA_COUNTER<= 4'd0; + end + else + begin + COUNTER<= COUNTER + 1'b1; + end + end + RX_DETECT_START: + begin + + if(COUNTER == WORK_FR/2'd2) + begin + AUX <= RX_I; + COUNTER<= COUNTER + 1'b1; + end + else if(COUNTER < WORK_FR) + begin + COUNTER<= COUNTER + 1'b1; + end + else + begin + COUNTER<= {WIDTH{1'b0}}; + end + end + RX_TAKE_DATA: + begin + + if(DATA_COUNTER != 4'b1000 && COUNTER == WORK_FR/2'd2) + begin + DATA_COUNTER<= DATA_COUNTER+1'b1; + DATA_RX_O[DATA_COUNTER[2:0]]<=RX_I; + COUNTER<= COUNTER + 1'b1; + end + else if(COUNTER < WORK_FR) + begin + COUNTER<= COUNTER + 1'b1; + end + else + begin + COUNTER<= {WIDTH{1'b0}}; + end + end + RX_TAKE_PARITY: + begin + + if(COUNTER == WORK_FR/2'd2) + begin + PARITY_RX <= RX_I; + COUNTER <= COUNTER + 1'b1; + end + else if(COUNTER < WORK_FR) + begin + COUNTER <= COUNTER + 1'b1; + end + else + begin + COUNTER<= {WIDTH{1'b0}}; + AUX <= 1'b0; + end + + end + RX_HIGH_DETECT: + begin + + if(COUNTER == WORK_FR/2'd2) + begin + AUX <= RX_I; + COUNTER<= COUNTER + 1'b1; + end + else if(COUNTER < WORK_FR) + begin + COUNTER<= COUNTER + 1'b1; + end + else + begin + COUNTER<= {WIDTH{1'b0}}; + end + DATA_COUNTER<= 4'd0; + end + default: + begin + DATA_COUNTER<= 4'd0; + COUNTER<= {WIDTH{1'b0}}; + end + + endcase + end +end + + +endmodule
uart8systemc/trunk/rtl/uart_rx.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: uart8systemc/trunk/rtl/uart_tx.v =================================================================== --- uart8systemc/trunk/rtl/uart_tx.v (nonexistent) +++ uart8systemc/trunk/rtl/uart_tx.v (revision 2) @@ -0,0 +1,367 @@ + +`timescale 1ns/1ns + +module uart_tx#( + parameter integer WIDTH = 12 + ) + ( + input PCLK, + input RESET, + input [7:0] DATA_TX_I, + input [11:0] WORK_FR, + input START, + output TX_O, + output reg READY_TX + ); + +localparam [11:0] TX_IDLE = 12'b0000_0000_0000, + TX_START = 12'b0000_0000_0001, + TX_BIT_1 = 12'b0000_0000_0010, + TX_BIT_2 = 12'b0000_0000_0100, + TX_BIT_3 = 12'b0000_0000_1000, + TX_BIT_4 = 12'b0000_0001_0000, + TX_BIT_5 = 12'b0000_0010_0000, + TX_BIT_6 = 12'b0000_0100_0000, + TX_BIT_7 = 12'b0000_1000_0000, + TX_BIT_8 = 12'b0001_0000_0000, + TX_PARITY = 12'b0010_0000_0000, + TX_STOP = 12'b0100_0000_0000; + + reg [11:0] state_tx; + reg [11:0] next_state_tx; + + reg [WIDTH-1:0] DELAY_COUNTER; + + + + + +assign TX_O = (state_tx == TX_START)?1'b0: + (state_tx == TX_BIT_1)?DATA_TX_I[0:0]: + (state_tx == TX_BIT_2)?DATA_TX_I[1:1]: + (state_tx == TX_BIT_3)?DATA_TX_I[2:2]: + (state_tx == TX_BIT_4)?DATA_TX_I[3:3]: + (state_tx == TX_BIT_5)?DATA_TX_I[4:4]: + (state_tx == TX_BIT_6)?DATA_TX_I[5:5]: + (state_tx == TX_BIT_7)?DATA_TX_I[6:6]: + (state_tx == TX_BIT_8)?DATA_TX_I[7:7]: + (state_tx == TX_PARITY)?DATA_TX_I[0:0]^DATA_TX_I[1:1]^DATA_TX_I[2:2]^DATA_TX_I[3:3]^DATA_TX_I[4:4]^DATA_TX_I[5:5]^DATA_TX_I[6:6]^DATA_TX_I[7:7]: + (state_tx == TX_STOP)?1'b1:1'b1; + +always@(*) +begin + + next_state_tx = state_tx; + + case(state_tx) + TX_IDLE: + begin + if(START == 1'b0) + begin + next_state_tx = TX_IDLE; + end + else + begin + next_state_tx = TX_START; + end + end + TX_START: + begin + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_START; + end + else + begin + next_state_tx = TX_BIT_1; + end + + end + TX_BIT_1: + begin + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_BIT_1; + end + else + begin + next_state_tx = TX_BIT_2; + end + + end + TX_BIT_2: + begin + + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_BIT_2; + end + else + begin + next_state_tx = TX_BIT_3; + end + + end + TX_BIT_3: + begin + + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_BIT_3; + end + else + begin + next_state_tx = TX_BIT_4; + end + end + TX_BIT_4: + begin + + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_BIT_4; + end + else + begin + next_state_tx = TX_BIT_5; + end + end + TX_BIT_5: + begin + + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_BIT_5; + end + else + begin + next_state_tx = TX_BIT_6; + end + end + TX_BIT_6: + begin + + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_BIT_6; + end + else + begin + next_state_tx = TX_BIT_7; + end + end + TX_BIT_7: + begin + + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_BIT_7; + end + else + begin + next_state_tx = TX_BIT_8; + end + end + TX_BIT_8: + begin + + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_BIT_8; + end + else + begin + next_state_tx = TX_PARITY; + end + end + TX_PARITY: + begin + + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_PARITY; + end + else + begin + next_state_tx = TX_STOP; + end + end + TX_STOP: + begin + + if(DELAY_COUNTER != WORK_FR) + begin + next_state_tx = TX_STOP; + end + else + begin + next_state_tx = TX_IDLE; + end + + end + default: + begin + next_state_tx = TX_IDLE; + end + endcase +end + + + +always@(posedge PCLK) +begin + if(RESET) + begin + READY_TX <= 1'b1; + DELAY_COUNTER<= {WIDTH{1'b0}}; + state_tx <= TX_IDLE; + end + else + begin + state_tx <= next_state_tx; + + case(state_tx) + TX_IDLE: + begin + if(START == 1'b0) + begin + READY_TX<= 1'b1; + DELAY_COUNTER<= {WIDTH{1'b0}}; + end + else + begin + READY_TX<= 1'b0; + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + end + TX_START: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER<= {WIDTH{1'b0}}; + end + end + TX_BIT_1: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER<= {WIDTH{1'b0}}; + end + end + TX_BIT_2: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER<= {WIDTH{1'b0}}; + end + end + TX_BIT_3: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER<= {WIDTH{1'b0}}; + end + end + TX_BIT_4: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER<= {WIDTH{1'b0}}; + end + end + TX_BIT_5: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER<= {WIDTH{1'b0}}; + end + end + TX_BIT_6: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER<= {WIDTH{1'b0}}; + end + end + TX_BIT_7: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER<= {WIDTH{1'b0}}; + end + end + TX_BIT_8: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER <= {WIDTH{1'b0}}; + end + end + TX_PARITY: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER <= {WIDTH{1'b0}}; + end + end + TX_STOP: + begin + if(DELAY_COUNTER < WORK_FR) + begin + DELAY_COUNTER <= DELAY_COUNTER + 1'b1; + end + else + begin + DELAY_COUNTER<= {WIDTH{1'b0}}; + end + end + default: + begin + DELAY_COUNTER<= {WIDTH{1'b1}}; + end + endcase + end +end + + +endmodule
uart8systemc/trunk/rtl/uart_tx.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: uart8systemc/trunk/work/run.sh =================================================================== --- uart8systemc/trunk/work/run.sh (nonexistent) +++ uart8systemc/trunk/work/run.sh (revision 2) @@ -0,0 +1,8 @@ + +g++ -c -ldl -fpic ../vpi/env_uart.cpp -std=c++11 -Wwrite-strings -fpermissive + +g++ -shared -oenv_uart.vpi env_uart.o -lvpi -std=c++11 -Wwrite-strings -fpermissive + +iverilog -oenv_uart ../rtl/*.v ../testbench/module_tb.v + +vvp -M. -menv_uart env_uart Index: uart8systemc/trunk/LICENSE =================================================================== --- uart8systemc/trunk/LICENSE (nonexistent) +++ uart8systemc/trunk/LICENSE (revision 2) @@ -0,0 +1,674 @@ + GNU GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + + The precise terms and conditions for copying, distribution and +modification follow. + + TERMS AND CONDITIONS + + 0. Definitions. + + "This License" refers to version 3 of the GNU General Public License. + + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. + + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. + + A "covered work" means either the unmodified Program or a work based +on the Program. + + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + + 1. Source Code. + + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. + + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + + The Corresponding Source for a work in source code form is that +same work. + + 2. Basic Permissions. + + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + + 13. Use with the GNU Affero General Public License. + + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + + 14. Revised Versions of this License. + + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + + 15. Disclaimer of Warranty. + + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + {one line to give the program's name and a brief idea of what it does.} + Copyright (C) {year} {name of author} + + This program is free software: you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation, either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program. If not, see . + +Also add information on how to contact you by electronic and paper mail. + + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + + {project} Copyright (C) {year} {fullname} + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". + + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. + + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +. Index: uart8systemc/trunk/systemC/link_sc.h =================================================================== --- uart8systemc/trunk/systemC/link_sc.h (nonexistent) +++ uart8systemc/trunk/systemC/link_sc.h (revision 2) @@ -0,0 +1,36 @@ +#ifndef CONTROL_SC_H +#define CONTROL_SC_H + +class Control_SC +{ + public: + /*Constructor*/ + Control_SC(); + + /*initialize systemC model*/ + virtual void init(); + + /*Reset the model*/ + virtual void reset_set_high(); + virtual void reset_set_low(); + + /*This is used to configure clock on systemC model note here you must put period T = 1/F*/ + virtual void set_period_clock_sc(unsigned value_freq); + + /*This must be used to set baud value on Env. Ex: 9600 / 50MHz"Only 50"*/ + virtual void set_baud_rate(unsigned int value_baud,unsigned frequency); + /*Get the baud rate and set it to your DUT*/ + virtual int get_baud_rate(); + + /*We use functions to retreive values from RX / TX SytemC to Verilog*/ + virtual void write_rx(unsigned int a); + virtual int read_tx(); + + /*Run the Env for a mmount off time*/ + virtual void run_sim(); + + /*Tell to SystemC to finish*/ + virtual void stop_sim(); + +}; +#endif Index: uart8systemc/trunk/README.md =================================================================== --- uart8systemc/trunk/README.md (nonexistent) +++ uart8systemc/trunk/README.md (revision 2) @@ -0,0 +1,2 @@ +# UART +This project provide the necessary to run a env test a simple uart verilog using SystemC and running it on icarus verilog Index: uart8systemc/trunk/vpi/execute_uart.h =================================================================== --- uart8systemc/trunk/vpi/execute_uart.h (nonexistent) +++ uart8systemc/trunk/vpi/execute_uart.h (revision 2) @@ -0,0 +1,67 @@ +static int execute_uart_calltf(char*user_data) +{ + + vpiHandle RESET = vpi_handle_by_name("module_tb.RESET", NULL); + vpiHandle RX = vpi_handle_by_name("module_tb.RX", NULL); + vpiHandle START = vpi_handle_by_name("module_tb.START", NULL); + vpiHandle DATA_TX = vpi_handle_by_name("module_tb.DATA_TX", NULL); + vpiHandle WORK_FR = vpi_handle_by_name("module_tb.WORK_FR", NULL); + vpiHandle TX = vpi_handle_by_name("module_tb.TX", NULL); + vpiHandle DATA_RX = vpi_handle_by_name("module_tb.DATA_RX", NULL); + vpiHandle PARITY_RX = vpi_handle_by_name("module_tb.PARITY_RX", NULL); + vpiHandle READY_TX = vpi_handle_by_name("module_tb.READY_TX", NULL); + vpiHandle READY = vpi_handle_by_name("module_tb.READY", NULL); + + + reset.format = vpiIntVal; + rx_value.format = vpiIntVal; + tx_value.format = vpiIntVal; + ready_rx.format = vpiIntVal; + start_tx.format = vpiIntVal; + ready_tx.format = vpiIntVal; + + + vpi_get_value(RESET, &reset); + + if(reset.value.integer == 0) + { + tx_value.value.integer = SC_UART->read_tx(); + vpi_put_value(RX, &tx_value, NULL, vpiNoDelay); + + vpi_get_value(TX, &rx_value); + SC_UART->write_rx(rx_value.value.integer); + + SC_UART->run_sim(); + + vpi_get_value(READY, &ready_rx); + + if(ready_rx.value.integer == 1) + { + vpi_get_value(READY_TX, &ready_tx); + + if(ready_tx.value.integer == 1) + { + start_tx.value.integer = 1; + vpi_put_value(START, &start_tx, NULL, vpiNoDelay); + } + } + else + { + vpi_get_value(READY_TX, &ready_tx); + + if(ready_tx.value.integer == 0) + { + start_tx.value.integer = 0; + vpi_put_value(START, &start_tx, NULL, vpiNoDelay); + } + } + + }else + { + + } + + + + return 0; +} Index: uart8systemc/trunk/vpi/reset_uart.h =================================================================== --- uart8systemc/trunk/vpi/reset_uart.h (nonexistent) +++ uart8systemc/trunk/vpi/reset_uart.h (revision 2) @@ -0,0 +1,22 @@ +static int reset_uart_calltf(char*user_data) +{ + + vpiHandle RESET = vpi_handle_by_name("module_tb.RESET", NULL); + + reset.format=vpiIntVal; + + if(counter_reset < 5) + { + reset.value.integer = 1; + vpi_put_value(RESET, &reset, NULL, vpiNoDelay); + counter_reset = counter_reset + 1; + } + else + { + reset.value.integer = 0; + vpi_put_value(RESET, &reset, NULL, vpiNoDelay); + SC_UART->reset_set_low(); + } + + return 0; +} Index: uart8systemc/trunk/vpi/env_uart.cpp =================================================================== --- uart8systemc/trunk/vpi/env_uart.cpp (nonexistent) +++ uart8systemc/trunk/vpi/env_uart.cpp (revision 2) @@ -0,0 +1,83 @@ +#include "../iverilog/vpi_user.h" + +#include "../systemC/link_sc.h" + +int counter; +int counter_reset; + +#include +#include +#include +#include +#include + +using namespace std; + +void* lib_handle; + +Control_SC* (*create)(); +void (*destroy)(Control_SC*); + +Control_SC* SC_UART; + +s_vpi_value v_generate; + +s_vpi_value reset; +s_vpi_value rx_value; +s_vpi_value tx_value; + +s_vpi_value ready_rx; +s_vpi_value start_tx; +s_vpi_value ready_tx; + +#include "execute_uart.h" +#include "reset_uart.h" +#include "global_init.h" +#include "counter_global.h" + +void Leitura_register() +{ + s_vpi_systf_data tf_data; + + tf_data.type = vpiSysTask; + tf_data.sysfunctype = 0; + tf_data.tfname = "$execute_uart"; + tf_data.calltf = execute_uart_calltf; + tf_data.compiletf = 0; + tf_data.sizetf = 0; + tf_data.user_data = 0; + vpi_register_systf(&tf_data); + + tf_data.type = vpiSysTask; + tf_data.sysfunctype = 0; + tf_data.tfname = "$reset_uart"; + tf_data.calltf = reset_uart_calltf; + tf_data.compiletf = 0; + tf_data.sizetf = 0; + tf_data.user_data = 0; + vpi_register_systf(&tf_data); + + tf_data.type = vpiSysTask; + tf_data.sysfunctype = 0; + tf_data.tfname = "$global_counter"; + tf_data.calltf = global_counter_calltf; + tf_data.compiletf = 0; + tf_data.sizetf = 0; + tf_data.user_data = 0; + vpi_register_systf(&tf_data); + + tf_data.type = vpiSysTask; + tf_data.sysfunctype = 0; + tf_data.tfname = "$global_init"; + tf_data.calltf = global_init_calltf; + tf_data.compiletf = 0; + tf_data.sizetf = 0; + tf_data.user_data = 0; + vpi_register_systf(&tf_data); +} + +void (*vlog_startup_routines[])() = { + Leitura_register, + 0 +}; + Index: uart8systemc/trunk/vpi/counter_global.h =================================================================== --- uart8systemc/trunk/vpi/counter_global.h (nonexistent) +++ uart8systemc/trunk/vpi/counter_global.h (revision 2) @@ -0,0 +1,31 @@ +static int global_counter_calltf(char*user_data) +{ + vpiHandle i = vpi_handle_by_name("module_tb.i", NULL); + vpiHandle READY = vpi_handle_by_name("module_tb.READY", NULL); + vpiHandle RESET = vpi_handle_by_name("module_tb.RESET", NULL); + + v_generate.format=vpiIntVal; + ready_rx.format =vpiIntVal; + reset.format = vpiIntVal; + + + if(counter > 100) + { + v_generate.value.integer = 1; + vpi_put_value(i, &v_generate, NULL, vpiNoDelay); + SC_UART->stop_sim(); + destroy(SC_UART); + } + + vpi_get_value(READY, &ready_rx); + vpi_get_value(RESET, &reset); + + if(ready_rx.value.integer == 1 && reset.value.integer == 0) + { + counter = counter + 1; + } + + + return 0; + +} Index: uart8systemc/trunk/vpi/global_init.h =================================================================== --- uart8systemc/trunk/vpi/global_init.h (nonexistent) +++ uart8systemc/trunk/vpi/global_init.h (revision 2) @@ -0,0 +1,50 @@ +static int global_init_calltf(char*user_data) +{ + + vpiHandle RESET = vpi_handle_by_name("module_tb.RESET", NULL); + vpiHandle START = vpi_handle_by_name("module_tb.START", NULL); + vpiHandle WORK_FR = vpi_handle_by_name("module_tb.WORK_FR", NULL); + vpiHandle RX = vpi_handle_by_name("module_tb.RX", NULL); + vpiHandle TX = vpi_handle_by_name("module_tb.TX", NULL); + + reset.format=vpiIntVal; + rx_value.format = vpiIntVal; + tx_value.format = vpiIntVal; + + reset.value.integer = 1; + vpi_put_value(RESET, &reset, NULL, vpiNoDelay); + reset.value.integer = 0; + vpi_put_value(START, &reset, NULL, vpiNoDelay); + + counter = 0; + counter_reset = 0; + + lib_handle = dlopen("./sc_uart.so", RTLD_LAZY); + + if(!lib_handle) + { + fprintf(stderr, "%s\n", dlerror()); + } + + create = (Control_SC* (*)())dlsym(lib_handle, "create_object"); + destroy = (void (*)(Control_SC*))dlsym(lib_handle, "destroy_object"); + + SC_UART = (Control_SC*)create(); + SC_UART->set_baud_rate(115200,50); + SC_UART->init(); + + reset.value.integer = SC_UART->get_baud_rate(); + vpi_put_value(WORK_FR, &reset, NULL, vpiNoDelay); + + SC_UART->reset_set_high(); + + tx_value.value.integer = SC_UART->read_tx(); + vpi_put_value(RX, &tx_value, NULL, vpiNoDelay); + + vpi_get_value(TX, &rx_value); + SC_UART->write_rx(rx_value.value.integer); + + SC_UART->run_sim(); + + return 0; +}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.