OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 1 to Rev 2
    Reverse comparison

Rev 1 → Rev 2

/uart_block/trunk/hdl/iseProject/serial_receiver.syr
0,0 → 1,761
Release 13.4 - xst O.87xd (nt64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
--> Parameter TMPDIR set to xst/projnav.tmp
 
 
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.05 secs
--> Parameter xsthdpdir set to xst
 
 
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.05 secs
--> Reading design: serial_receiver.prj
 
TABLE OF CONTENTS
1) Synthesis Options Summary
2) HDL Compilation
3) Design Hierarchy Analysis
4) HDL Analysis
5) HDL Synthesis
5.1) HDL Synthesis Report
6) Advanced HDL Synthesis
6.1) Advanced HDL Synthesis Report
7) Low Level Synthesis
8) Partition Report
9) Final Report
9.1) Device utilization summary
9.2) Partition Resource Summary
9.3) TIMING REPORT
 
 
=========================================================================
* Synthesis Options Summary *
=========================================================================
---- Source Parameters
Input File Name : "serial_receiver.prj"
Input Format : mixed
Ignore Synthesis Constraint File : NO
 
---- Target Parameters
Output File Name : "serial_receiver"
Output Format : NGC
Target Device : xc3s500e-4-fg320
 
---- Source Options
Top Module Name : serial_receiver
Automatic FSM Extraction : YES
FSM Encoding Algorithm : Auto
Safe Implementation : No
FSM Style : LUT
RAM Extraction : Yes
RAM Style : Auto
ROM Extraction : Yes
Mux Style : Auto
Decoder Extraction : YES
Priority Encoder Extraction : Yes
Shift Register Extraction : YES
Logical Shifter Extraction : YES
XOR Collapsing : YES
ROM Style : Auto
Mux Extraction : Yes
Resource Sharing : YES
Asynchronous To Synchronous : NO
Multiplier Style : Auto
Automatic Register Balancing : No
 
---- Target Options
Add IO Buffers : YES
Global Maximum Fanout : 100000
Add Generic Clock Buffer(BUFG) : 24
Register Duplication : YES
Slice Packing : YES
Optimize Instantiated Primitives : NO
Use Clock Enable : Yes
Use Synchronous Set : Yes
Use Synchronous Reset : Yes
Pack IO Registers into IOBs : Auto
Equivalent register Removal : YES
 
---- General Options
Optimization Goal : Speed
Optimization Effort : 1
Keep Hierarchy : No
Netlist Hierarchy : As_Optimized
RTL Output : Yes
Global Optimization : AllClockNets
Read Cores : YES
Write Timing Constraints : NO
Cross Clock Analysis : NO
Hierarchy Separator : /
Bus Delimiter : <>
Case Specifier : Maintain
Slice Utilization Ratio : 100
BRAM Utilization Ratio : 100
Verilog 2001 : YES
Auto BRAM Packing : NO
Slice Utilization Ratio Delta : 5
 
=========================================================================
 
 
=========================================================================
* HDL Compilation *
=========================================================================
Compiling vhdl file "E:/uart_block/hdl/iseProject/pkgDefinitions.vhd" in Library work.
Architecture pkgdefinitions of Entity pkgdefinitions is up to date.
Compiling vhdl file "E:/uart_block/hdl/iseProject/serial_receiver.vhd" in Library work.
Entity <serial_receiver> compiled.
Entity <serial_receiver> (Architecture <behavioral>) compiled.
 
=========================================================================
* Design Hierarchy Analysis *
=========================================================================
Analyzing hierarchy for entity <serial_receiver> in library <work> (architecture <behavioral>).
 
 
=========================================================================
* HDL Analysis *
=========================================================================
Analyzing Entity <serial_receiver> in library <work> (Architecture <behavioral>).
WARNING:Xst:819 - "E:/uart_block/hdl/iseProject/serial_receiver.vhd" line 76: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
<serial_in>
Entity <serial_receiver> analyzed. Unit <serial_receiver> generated.
 
 
=========================================================================
* HDL Synthesis *
=========================================================================
 
Performing bidirectional port resolution...
 
Synthesizing Unit <serial_receiver>.
Related source file is "E:/uart_block/hdl/iseProject/serial_receiver.vhd".
Found finite state machine <FSM_0> for signal <current_s>.
-----------------------------------------------------------------------
| States | 10 |
| Transitions | 10 |
| Inputs | 0 |
| Outputs | 9 |
| Clock | baudClk (rising_edge) |
| Reset | syncDetected (negative) |
| Reset type | asynchronous |
| Reset State | rx_idle |
| Power Up State | rx_idle |
| Encoding | automatic |
| Implementation | LUT |
-----------------------------------------------------------------------
Found finite state machine <FSM_1> for signal <filterRx>.
-----------------------------------------------------------------------
| States | 3 |
| Transitions | 5 |
| Inputs | 1 |
| Outputs | 3 |
| Clock | baudOverSampleClk (rising_edge) |
| Reset | rst (positive) |
| Reset type | asynchronous |
| Reset State | s0 |
| Power Up State | s0 |
| Encoding | automatic |
| Implementation | LUT |
-----------------------------------------------------------------------
WARNING:Xst:737 - Found 1-bit latch for signal <data_byte_0>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
WARNING:Xst:737 - Found 1-bit latch for signal <data_byte_1>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
WARNING:Xst:737 - Found 1-bit latch for signal <data_byte_2>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
WARNING:Xst:737 - Found 1-bit latch for signal <data_byte_3>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
WARNING:Xst:737 - Found 1-bit latch for signal <data_byte_4>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
WARNING:Xst:737 - Found 1-bit latch for signal <data_byte_5>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
WARNING:Xst:737 - Found 1-bit latch for signal <data_byte_6>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
WARNING:Xst:737 - Found 1-bit latch for signal <data_byte_7>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
Found 1-bit register for signal <syncDetected>.
Summary:
inferred 2 Finite State Machine(s).
inferred 1 D-type flip-flop(s).
Unit <serial_receiver> synthesized.
 
 
=========================================================================
HDL Synthesis Report
 
Macro Statistics
# Registers : 1
1-bit register : 1
# Latches : 8
1-bit latch : 8
 
=========================================================================
 
=========================================================================
* Advanced HDL Synthesis *
=========================================================================
 
Analyzing FSM <FSM_1> for best encoding.
Optimizing FSM <filterRx/FSM> on signal <filterRx[1:2]> with gray encoding.
-------------------
State | Encoding
-------------------
s0 | 00
s1 | 01
s2 | 11
-------------------
Analyzing FSM <FSM_0> for best encoding.
Optimizing FSM <current_s/FSM> on signal <current_s[1:10]> with one-hot encoding.
-----------------------
State | Encoding
-----------------------
rx_idle | 0000000001
bit0 | 0000000010
bit1 | 0000000100
bit2 | 0000001000
bit3 | 0000010000
bit4 | 0000100000
bit5 | 0001000000
bit6 | 0010000000
bit7 | 0100000000
rx_stop | 1000000000
-----------------------
 
=========================================================================
Advanced HDL Synthesis Report
 
Macro Statistics
# FSMs : 2
# Registers : 1
Flip-Flops : 1
# Latches : 8
1-bit latch : 8
 
=========================================================================
 
=========================================================================
* Low Level Synthesis *
=========================================================================
 
Optimizing unit <serial_receiver> ...
 
Mapping all equations...
Building and optimizing final netlist ...
Found area constraint ratio of 100 (+ 5) on block serial_receiver, actual ratio is 0.
 
Final Macro Processing ...
 
=========================================================================
Final Register Report
 
Macro Statistics
# Registers : 13
Flip-Flops : 13
 
=========================================================================
 
=========================================================================
* Partition Report *
=========================================================================
 
Partition Implementation Status
-------------------------------
 
No Partitions were found in this design.
 
-------------------------------
 
=========================================================================
* Final Report *
=========================================================================
Final Results
RTL Top Level Output File Name : serial_receiver.ngr
Top Level Output File Name : serial_receiver
Output Format : NGC
Optimization Goal : Speed
Keep Hierarchy : No
 
Design Statistics
# IOs : 13
 
Cell Usage :
# BELS : 4
# INV : 1
# LUT2 : 2
# LUT3 : 1
# FlipFlops/Latches : 21
# FDC : 12
# FDP : 1
# LD : 8
# Clock Buffers : 2
# BUFGP : 2
# IO Buffers : 11
# IBUF : 2
# OBUF : 9
=========================================================================
 
Device utilization summary:
---------------------------
 
Selected Device : 3s500efg320-4
 
Number of Slices: 7 out of 4656 0%
Number of Slice Flip Flops: 13 out of 9312 0%
Number of 4 input LUTs: 4 out of 9312 0%
Number of IOs: 13
Number of bonded IOBs: 13 out of 232 5%
IOB Flip Flops: 8
Number of GCLKs: 2 out of 24 8%
 
---------------------------
Partition Resource Summary:
---------------------------
 
No Partitions were found in this design.
 
---------------------------
 
 
=========================================================================
TIMING REPORT
 
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
GENERATED AFTER PLACE-and-ROUTE.
 
Clock Information:
------------------
-----------------------------------+------------------------+-------+
Clock Signal | Clock buffer(FF name) | Load |
-----------------------------------+------------------------+-------+
baudOverSampleClk | BUFGP | 3 |
current_s_FSM_FFd2 | NONE(data_byte_7) | 1 |
current_s_FSM_FFd3 | NONE(data_byte_6) | 1 |
current_s_FSM_FFd4 | NONE(data_byte_5) | 1 |
current_s_FSM_FFd5 | NONE(data_byte_4) | 1 |
current_s_FSM_FFd6 | NONE(data_byte_3) | 1 |
current_s_FSM_FFd7 | NONE(data_byte_2) | 1 |
current_s_FSM_FFd8 | NONE(data_byte_1) | 1 |
current_s_FSM_FFd9 | NONE(data_byte_0) | 1 |
baudClk | BUFGP | 10 |
-----------------------------------+------------------------+-------+
INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
 
Asynchronous Control Signals Information:
----------------------------------------
---------------------------------------------------------------+-------------------------+-------+
Control Signal | Buffer(FF name) | Load |
---------------------------------------------------------------+-------------------------+-------+
current_s_FSM_Acst_FSM_inv(current_s_FSM_Acst_FSM_inv1_INV_0:O)| NONE(current_s_FSM_FFd1)| 10 |
rst | IBUF | 3 |
---------------------------------------------------------------+-------------------------+-------+
 
Timing Summary:
---------------
Speed Grade: -4
 
Minimum period: 2.213ns (Maximum Frequency: 451.875MHz)
Minimum input arrival time before clock: 3.338ns
Maximum output required time after clock: 4.368ns
Maximum combinational path delay: No path found
 
Timing Detail:
--------------
All values displayed in nanoseconds (ns)
 
=========================================================================
Timing constraint: Default period analysis for Clock 'baudOverSampleClk'
Clock period: 2.213ns (frequency: 451.875MHz)
Total number of paths / destination ports: 4 / 3
-------------------------------------------------------------------------
Delay: 2.213ns (Levels of Logic = 1)
Source: filterRx_FSM_FFd1 (FF)
Destination: syncDetected (FF)
Source Clock: baudOverSampleClk rising
Destination Clock: baudOverSampleClk rising
 
Data Path: filterRx_FSM_FFd1 to syncDetected
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDC:C->Q 3 0.591 0.610 filterRx_FSM_FFd1 (filterRx_FSM_FFd1)
LUT2:I1->O 1 0.704 0.000 filterRx_FSM_FFd2-In1 (filterRx_FSM_FFd2-In)
FDC:D 0.308 filterRx_FSM_FFd2
----------------------------------------
Total 2.213ns (1.603ns logic, 0.610ns route)
(72.4% logic, 27.6% route)
 
=========================================================================
Timing constraint: Default period analysis for Clock 'baudClk'
Clock period: 1.346ns (frequency: 742.942MHz)
Total number of paths / destination ports: 10 / 10
-------------------------------------------------------------------------
Delay: 1.346ns (Levels of Logic = 0)
Source: current_s_FSM_FFd1 (FF)
Destination: current_s_FSM_FFd10 (FF)
Source Clock: baudClk rising
Destination Clock: baudClk rising
 
Data Path: current_s_FSM_FFd1 to current_s_FSM_FFd10
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDC:C->Q 2 0.591 0.447 current_s_FSM_FFd1 (current_s_FSM_FFd1)
FDP:D 0.308 current_s_FSM_FFd10
----------------------------------------
Total 1.346ns (0.899ns logic, 0.447ns route)
(66.8% logic, 33.2% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'baudOverSampleClk'
Total number of paths / destination ports: 3 / 3
-------------------------------------------------------------------------
Offset: 3.338ns (Levels of Logic = 2)
Source: serial_in (PAD)
Destination: syncDetected (FF)
Destination Clock: baudOverSampleClk rising
 
Data Path: serial_in to syncDetected
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 1.218 1.108 serial_in_IBUF (serial_in_IBUF)
LUT2:I0->O 1 0.704 0.000 filterRx_FSM_FFd2-In1 (filterRx_FSM_FFd2-In)
FDC:D 0.308 filterRx_FSM_FFd2
----------------------------------------
Total 3.338ns (2.230ns logic, 1.108ns route)
(66.8% logic, 33.2% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd2'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 2.459ns (Levels of Logic = 1)
Source: serial_in (PAD)
Destination: data_byte_7 (LATCH)
Destination Clock: current_s_FSM_FFd2 falling
 
Data Path: serial_in to data_byte_7
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 1.218 0.933 serial_in_IBUF (serial_in_IBUF)
LD:D 0.308 data_byte_7
----------------------------------------
Total 2.459ns (1.526ns logic, 0.933ns route)
(62.1% logic, 37.9% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd3'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 2.459ns (Levels of Logic = 1)
Source: serial_in (PAD)
Destination: data_byte_6 (LATCH)
Destination Clock: current_s_FSM_FFd3 falling
 
Data Path: serial_in to data_byte_6
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 1.218 0.933 serial_in_IBUF (serial_in_IBUF)
LD:D 0.308 data_byte_6
----------------------------------------
Total 2.459ns (1.526ns logic, 0.933ns route)
(62.1% logic, 37.9% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd4'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 2.459ns (Levels of Logic = 1)
Source: serial_in (PAD)
Destination: data_byte_5 (LATCH)
Destination Clock: current_s_FSM_FFd4 falling
 
Data Path: serial_in to data_byte_5
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 1.218 0.933 serial_in_IBUF (serial_in_IBUF)
LD:D 0.308 data_byte_5
----------------------------------------
Total 2.459ns (1.526ns logic, 0.933ns route)
(62.1% logic, 37.9% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd5'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 2.459ns (Levels of Logic = 1)
Source: serial_in (PAD)
Destination: data_byte_4 (LATCH)
Destination Clock: current_s_FSM_FFd5 falling
 
Data Path: serial_in to data_byte_4
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 1.218 0.933 serial_in_IBUF (serial_in_IBUF)
LD:D 0.308 data_byte_4
----------------------------------------
Total 2.459ns (1.526ns logic, 0.933ns route)
(62.1% logic, 37.9% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd6'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 2.459ns (Levels of Logic = 1)
Source: serial_in (PAD)
Destination: data_byte_3 (LATCH)
Destination Clock: current_s_FSM_FFd6 falling
 
Data Path: serial_in to data_byte_3
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 1.218 0.933 serial_in_IBUF (serial_in_IBUF)
LD:D 0.308 data_byte_3
----------------------------------------
Total 2.459ns (1.526ns logic, 0.933ns route)
(62.1% logic, 37.9% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd7'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 2.459ns (Levels of Logic = 1)
Source: serial_in (PAD)
Destination: data_byte_2 (LATCH)
Destination Clock: current_s_FSM_FFd7 falling
 
Data Path: serial_in to data_byte_2
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 1.218 0.933 serial_in_IBUF (serial_in_IBUF)
LD:D 0.308 data_byte_2
----------------------------------------
Total 2.459ns (1.526ns logic, 0.933ns route)
(62.1% logic, 37.9% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd8'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 2.459ns (Levels of Logic = 1)
Source: serial_in (PAD)
Destination: data_byte_1 (LATCH)
Destination Clock: current_s_FSM_FFd8 falling
 
Data Path: serial_in to data_byte_1
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 1.218 0.933 serial_in_IBUF (serial_in_IBUF)
LD:D 0.308 data_byte_1
----------------------------------------
Total 2.459ns (1.526ns logic, 0.933ns route)
(62.1% logic, 37.9% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd9'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 2.459ns (Levels of Logic = 1)
Source: serial_in (PAD)
Destination: data_byte_0 (LATCH)
Destination Clock: current_s_FSM_FFd9 falling
 
Data Path: serial_in to data_byte_0
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 11 1.218 0.933 serial_in_IBUF (serial_in_IBUF)
LD:D 0.308 data_byte_0
----------------------------------------
Total 2.459ns (1.526ns logic, 0.933ns route)
(62.1% logic, 37.9% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'baudClk'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 4.310ns (Levels of Logic = 1)
Source: current_s_FSM_FFd1 (FF)
Destination: data_ready (PAD)
Source Clock: baudClk rising
 
Data Path: current_s_FSM_FFd1 to data_ready
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDC:C->Q 2 0.591 0.447 current_s_FSM_FFd1 (current_s_FSM_FFd1)
OBUF:I->O 3.272 data_ready_OBUF (data_ready)
----------------------------------------
Total 4.310ns (3.863ns logic, 0.447ns route)
(89.6% logic, 10.4% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd2'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 4.368ns (Levels of Logic = 1)
Source: data_byte_7 (LATCH)
Destination: data_byte<7> (PAD)
Source Clock: current_s_FSM_FFd2 falling
 
Data Path: data_byte_7 to data_byte<7>
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
LD:G->Q 1 0.676 0.420 data_byte_7 (data_byte_7)
OBUF:I->O 3.272 data_byte_7_OBUF (data_byte<7>)
----------------------------------------
Total 4.368ns (3.948ns logic, 0.420ns route)
(90.4% logic, 9.6% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd3'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 4.368ns (Levels of Logic = 1)
Source: data_byte_6 (LATCH)
Destination: data_byte<6> (PAD)
Source Clock: current_s_FSM_FFd3 falling
 
Data Path: data_byte_6 to data_byte<6>
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
LD:G->Q 1 0.676 0.420 data_byte_6 (data_byte_6)
OBUF:I->O 3.272 data_byte_6_OBUF (data_byte<6>)
----------------------------------------
Total 4.368ns (3.948ns logic, 0.420ns route)
(90.4% logic, 9.6% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd4'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 4.368ns (Levels of Logic = 1)
Source: data_byte_5 (LATCH)
Destination: data_byte<5> (PAD)
Source Clock: current_s_FSM_FFd4 falling
 
Data Path: data_byte_5 to data_byte<5>
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
LD:G->Q 1 0.676 0.420 data_byte_5 (data_byte_5)
OBUF:I->O 3.272 data_byte_5_OBUF (data_byte<5>)
----------------------------------------
Total 4.368ns (3.948ns logic, 0.420ns route)
(90.4% logic, 9.6% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd5'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 4.368ns (Levels of Logic = 1)
Source: data_byte_4 (LATCH)
Destination: data_byte<4> (PAD)
Source Clock: current_s_FSM_FFd5 falling
 
Data Path: data_byte_4 to data_byte<4>
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
LD:G->Q 1 0.676 0.420 data_byte_4 (data_byte_4)
OBUF:I->O 3.272 data_byte_4_OBUF (data_byte<4>)
----------------------------------------
Total 4.368ns (3.948ns logic, 0.420ns route)
(90.4% logic, 9.6% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd6'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 4.368ns (Levels of Logic = 1)
Source: data_byte_3 (LATCH)
Destination: data_byte<3> (PAD)
Source Clock: current_s_FSM_FFd6 falling
 
Data Path: data_byte_3 to data_byte<3>
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
LD:G->Q 1 0.676 0.420 data_byte_3 (data_byte_3)
OBUF:I->O 3.272 data_byte_3_OBUF (data_byte<3>)
----------------------------------------
Total 4.368ns (3.948ns logic, 0.420ns route)
(90.4% logic, 9.6% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd7'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 4.368ns (Levels of Logic = 1)
Source: data_byte_2 (LATCH)
Destination: data_byte<2> (PAD)
Source Clock: current_s_FSM_FFd7 falling
 
Data Path: data_byte_2 to data_byte<2>
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
LD:G->Q 1 0.676 0.420 data_byte_2 (data_byte_2)
OBUF:I->O 3.272 data_byte_2_OBUF (data_byte<2>)
----------------------------------------
Total 4.368ns (3.948ns logic, 0.420ns route)
(90.4% logic, 9.6% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd8'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 4.368ns (Levels of Logic = 1)
Source: data_byte_1 (LATCH)
Destination: data_byte<1> (PAD)
Source Clock: current_s_FSM_FFd8 falling
 
Data Path: data_byte_1 to data_byte<1>
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
LD:G->Q 1 0.676 0.420 data_byte_1 (data_byte_1)
OBUF:I->O 3.272 data_byte_1_OBUF (data_byte<1>)
----------------------------------------
Total 4.368ns (3.948ns logic, 0.420ns route)
(90.4% logic, 9.6% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd9'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 4.368ns (Levels of Logic = 1)
Source: data_byte_0 (LATCH)
Destination: data_byte<0> (PAD)
Source Clock: current_s_FSM_FFd9 falling
 
Data Path: data_byte_0 to data_byte<0>
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
LD:G->Q 1 0.676 0.420 data_byte_0 (data_byte_0)
OBUF:I->O 3.272 data_byte_0_OBUF (data_byte<0>)
----------------------------------------
Total 4.368ns (3.948ns logic, 0.420ns route)
(90.4% logic, 9.6% route)
 
=========================================================================
 
 
Total REAL time to Xst completion: 3.00 secs
Total CPU time to Xst completion: 3.17 secs
-->
 
Total memory usage is 257012 kilobytes
 
Number of errors : 0 ( 0 filtered)
Number of warnings : 9 ( 0 filtered)
Number of infos : 1 ( 0 filtered)
 
/uart_block/trunk/hdl/iseProject/serial_receiver.xst
0,0 → 1,56
set -tmpdir "xst/projnav.tmp"
set -xsthdpdir "xst"
run
-ifn serial_receiver.prj
-ifmt mixed
-ofn serial_receiver
-ofmt NGC
-p xc3s500e-4-fg320
-top serial_receiver
-opt_mode Speed
-opt_level 1
-iuc NO
-keep_hierarchy No
-netlist_hierarchy As_Optimized
-rtlview Yes
-glob_opt AllClockNets
-read_cores YES
-write_timing_constraints NO
-cross_clock_analysis NO
-hierarchy_separator /
-bus_delimiter <>
-case Maintain
-slice_utilization_ratio 100
-bram_utilization_ratio 100
-verilog2001 YES
-fsm_extract YES -fsm_encoding Auto
-safe_implementation No
-fsm_style LUT
-ram_extract Yes
-ram_style Auto
-rom_extract Yes
-mux_style Auto
-decoder_extract YES
-priority_extract Yes
-shreg_extract YES
-shift_extract YES
-xor_collapse YES
-rom_style Auto
-auto_bram_packing NO
-mux_extract Yes
-resource_sharing YES
-async_to_sync NO
-mult_style Auto
-iobuf YES
-max_fanout 100000
-bufg 24
-register_duplication YES
-register_balancing No
-slice_packing YES
-optimize_primitives NO
-use_clock_enable Yes
-use_sync_set Yes
-use_sync_reset Yes
-iob Auto
-equivalent_register_removal YES
-slice_utilization_ratio_maxmargin 5
/uart_block/trunk/hdl/iseProject/serial_transmitter.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$74x5>6638;=754FNQWW>agsiVhrxh28:1<6?GSAOY20NX]PIODL5>E53JO87NB]9:ALIHOS\LN<7N\JAUGG5>B63L>0J5673:DGG1=ALJO87KJ_4:DEAD2<NOLN=6I<;FLG5>O53@:97D?=;H01?L533@H^J45FNHVPPDRB?2FDKDMNL59OQQ433E__8?5A029M444<F8=0BHZXOSI2?J><G'2<tiQC4:MAQC7<X=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB;2ZYI<5^6:QLQWEB<2^R\Hm4URGQ[SOTAKFN?6XLC89UM@QX\PZN=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB:6V\TMKA3>^T\VMEH:5WSU]UGF0<PmhTEi??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm7>^t|>1imxjLljf8fdscKeaTNXHHP008gptumg~TRH\M^DE`4743jy~h`{_r]EWHYANm;;=>5luspfjqYtWOYFSKHk1030?fsuzldS~QISL]EBa759;1hy|jnu]p[CUJWOLo><<4ctpqakrX{VLXARHId231?fsuzldS~QISL]EBa26:2i~~kat^q\BVKXNOn>=?5luspfjqYtWOYFSKHk6008gptumg~TRH\M^DE`2753jy~h`{_r]EWHYANm2:>6mzrsgmpZuXNZGTJKj6159`qwtbf}UxSK]B_Nww577d3mkmRlvtd>3:f=ci}kTntzj<0<`?agsiVhrxh2=>b9geqgXjp~n0>0l;ecweZd~|l6?2n5kauc\f|rb4<4h7io{a^`zp`:16l1omyoPbxvf82<76j1omyoPbxvf828682njxlQmyug\4ZOE]O;;7io{a^`zp`Y6W@H^J<>4d`vb[gsmV8TEO[I119geqgXjp~nS>QFBTD24>bf|hUiuykP4^KAQC773mkmRlvtd]6[LDRN8:0hlzn_c{waZ0XAK_M==5kauc\f|rbW>UBNXH6;ecweZubf}o0hlzn_rgmpZIE]O90~~z7;sqw[LDRNh1xignl^mvpf=tm{cj`Razt00`?vcuahfTcxz<3b9pawofdVe~x>6m;rgqmdjXg|~?o6}jrhco[jss<1;;7~k}i`n\kpr30VY\<k5|eskbhZir|VEIYK?=;rgqmdjX|{ke`g{tdp24>~d;z?;<h#;-ge065{GHyl<7MNw658E>7<6sZ31?:4=3;307551=3;=jn=tn2795>h4>3<0(>:5319~W=<4?3886<=<0246>40ak<1o:94?:082V?=;>09?7?<31151?71nj90zY<m:182>4<5jrY26>9522827664><0::km<;%0e>4d<,;<1:85m6583>f<5938iwE<k;%0`>32<R=0:w?4ri2:94?=n=h0;66a:c;29?j3?2900e9h50;&1<?2b3g8<6=54i5f94?"503>n7c<8:098m1e=83.947:j;o04>7=<a=h1<7*=8;6f?k402:10e9o50;&1<?2b3g8<6954i5;94?"503>n7c<8:498m1>=83.947:j;o04>3=<a==1<7*=8;6f?k402>10n><50;394?6|,;i1=>5G309K6a=h9;0;66sm3283>4<729q/>n4=e:J05>N5l2e997>5;|`0`?6=<3:1<v*=c;d8L67<@;n0(<o51:k6>5<<ah0;66g=9;29?j4f2900qo=l:187>5<7s-8h6i5G309K6a=#9h0;7d;50;9j3?6=3`k1<75`2`83>>{e;k0;694?:1y'6f<c3A9:7E<k;%3b>5=n=3:17d950;9je?6=3f8j6=44}c66>5<3290;w)<l:e9K74=O:m1/=l4?;h794?=n?3:17do50;9l6d<722wi894?:583>5}#:j0o7E=>;I0g?!7f291b97>5;h594?=ni3:17b<n:188yg24290?6=4?{%0`>a=O;81C>i5+1`83?l3=831b;7>5;hc94?=h:h0;66sm4383>1<729q/>n4k;I12?M4c3-;j6=5f5;29?l1=831bm7>5;n0b>5<<uk>:6=4;:183!4d2m1C?<5G2e9'5d<73`?1<75f7;29?lg=831d>l4?::a05<72=0;6=u+2b8g?M563A8o7)?n:19j1?6=3`=1<75fa;29?j4f2900qo=i:187>5<7s-8h6i5G309K6a=#9h0;7d;50;9j3?6=3`k1<75`2`83>>{e;l0;694?:1y'6f<c3A9:7E<k;%3b>5=n=3:17d950;9je?6=3f8j6=44}c65>5<4290;w)<l:0a8L67<@;n0(<o5b:k21?6=3`;=6=44o3094?=zj?:1<7;50;2x 7e=9m1C?<5G2e9'5d<682c:97>5;h35>5<<a8=1<75f1983>>i5:3:17pl:d;291?6=8r.9o7?k;I12?M4c3-;j6n5f1483>>o6>3:17d?8:188m4>=831d>?4?::a1`<72<0;6=u+2b82`>N492B9h6*>a;a8m43=831b=;4?::k23?6=3`;36=44o3094?=zj<l1<7;50;2x 7e=9m1C?<5G2e9'5d<d3`;>6=44i0494?=n9>0;66g>8;29?j452900qo;m:181>5<7s-8h6<74H238L7b<a8>1<75`2383>>{e=>0;6?4?:1y'6f<612B8=6F=d:k20?6=3f896=44}c75>5<5290;w)<l:0;8L67<@;n0e<:50;9l67<722wi984?:383>5}#:j0:56F<1:J1`>o6<3:17b<=:188yg3329096=4?{%0`>4?<@:;0D?j4i0694?=h:;0;66sm5283>7<729q/>n4>9:J05>N5l2c:87>5;n01>5<<uk?96=4=:183!4d2830D>?4H3f8m42=831d>?4?::a14<72;0;6=u+2b82=>N492B9h6g>4;29?j452900qo;?:181>5<7s-8h6<74H238L7b<a8>1<75`2383>>{e>:0;6?4?:1y'6f<5<2B8=6F=d:k20?6=3f896=44}c7:>5<5290;w)<l:368L67<@;n0e<:50;9l67<722wi?l4?:483>5}#:j0n7E=>;I0g?!7f291b97>5;h494?=n?3:17do50;9l6d<722wi:?4?:483>5}#:j0:h6F<1:J1`>"6i3;:7d?::188m40=831b=:4?::k2<?6=3f896=44}c42>5<2290;w)<l:0f8L67<@;n0(<o5119j50<722c::7>5;h34>5<<a821<75`2383>>{e;00;6?4?:1y'6f<43A9:7E<k;h37>5<<g;81<75rs0g94?4|5:81=?523e8b?xu6n3:1>v392;01?8062820q~<?:1818542;?01>o5a:p7=<72;qU?55238820>{t;00;6iu23e86?85d2<16?o4:;<66>0=:<=0>70:<:49>07<234>:68524186?85a2<16?h4:;<1b>0=:;009>6s|3`83>6}:<?0:96390;3;?85f2;k0q~=m:18085e2;k019;5a:?55?713ty8o7>52z?0g?4f349i6l5rs2f94?5|5:n1>l523b8b?80628?0q~=j:18785b2;k01985179>25<6=278m784}r1e>5<4s49m6?o4=2g9e>;2n3;>7p};0;297~;3838j70=i:`9>1`<6?2wx8<4?:2y>04<5i27?<7o4=4g950=z{=81<7=t=5096d=:<80j708?:048yv242908w0:<:3c8914=i27>h7?:;|q70?6=;r7?87<n;<60>d=:=o0:;6s|4483>6}:<<09m63;4;c890b=9>1v9950;0xZ11<5<:1=95rs5:94?4|V=2018?5159~w1?=838pR974=40951=z{=k1<7<t^5c8905=9=1v9l50;0xZ1d<5<>1=95rs5a94?4|V=i018;5159~w1b=838pR9j4=44951=z{=l1<7<t^5d8901=9=1v8>50;0x906=:;16:<4>7:p14<72;q69i4>8:?65?453ty>>7>52z?6b?7?34?96?<4}r70>5<5s4?o6<84=41967=z{<>1<7<t=72952=:==09>6s|5483>7}:=l0::63:5;01?xu2>3:1>v3:e;3;?8312;80q~;8:18183a28<01895239~w0>=838pR864=4;967=z{<31<7<t=54967=:=00:86s|5`83>7}Y=h169o4>4:p1g<72mq6?i4=9:?0g?1<5:h1;63;5;58912=?27??794=5093>;393=019>57:?0b?1<5:o1;63:b;01?85f2>1v8m50;0xZ0e<5?91>?5rs4f94?4|5<n1>?5263821>{t=l0;6?u25d816>;1:3;=7p}:f;296~;2n389708=:058yv072909w08?:308934=911v;=50;0x935=9=16:<4=2:~jd7=83;pD?j4}oc1>5<6sA8o7p`n3;295~N5l2wem94?:0yK6a=zfh?1<7?tH3f8ykg1290:wE<k;|lb3?6=9rB9h6saa983>4}O:m1vbl750;3xL7b<ugkj6=4>{I0g?xhfj3:1=vF=d:mef<728qC>i5rn`f94?7|@;n0qcoj:182M4c3tdjj7>51zJ1`>{ij90;6<uG2e9~jg7=83;pD?j4}o`1>5<6sA8o7p`m3;295~N5l2wen94?:0yK6a=zfk?1<7?tH3f8ykd1290:wE<k;|la3?6=9rB9h6sab983>4}O:m1vbo750;3xL7b<ughj6=4>{I0g?xhej3:1=vF=d:mff<728qC>i5rncf94?7|@;n0qclj:182M4c3tdij7>51zJ1`>{ik90;6<uG2e9~jf7=83;pD?j4}oa1>5<6sA8o7p`l3;295~N5l2weo94?:0yK6a=zfj?1<7?tH3f8yke1290:wE<k;|l`3?6=9rB9h6sac983>4}O:m1vbn750;3xL7b<ugij6=4>{I0g?xhdj3:1=vF=d:~yxFGKrl<6o>lbb1:yEFEs9wKL]ur@A
/uart_block/trunk/hdl/iseProject/isim.log
0,0 → 1,19
ISim log file
Running: E:\uart_block\hdl\iseProject\testSerial_receiver_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb E:/uart_block/hdl/iseProject/testSerial_receiver_isim_beh.wdb
ISim O.87xd (signature 0xc3576ebc)
WARNING: A WEBPACK license was found.
WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license.
WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version.
This is a Lite version of ISim.
Time resolution is 1 ps
# onerror resume
# wave add /
# run 1000 us
Simulator is doing circuit initialization process.
Finished circuit initialization process.
 
** Failure:NONE. End of simulation.
User(VHDL) Code Called Simulation Stop
In process testSerial_receiver.vhd:stim_proc
INFO: Simulator is stopped.
/uart_block/trunk/hdl/iseProject/serial_transmitter_vhdl.prj
0,0 → 1,2
vhdl work "E:\uart_block\hdl\iseProject\pkgDefinitions.vhd"
vhdl work "E:\uart_block\hdl\iseProject\serial_transmitter.vhd"
/uart_block/trunk/hdl/iseProject/testSerial_receiver.vhd
0,0 → 1,112
--! Test serial_receiver module
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
--! Use CPU Definitions package
use work.pkgDefinitions.all;
ENTITY testSerial_receiver IS
END testSerial_receiver;
ARCHITECTURE behavior OF testSerial_receiver IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT serial_receiver
PORT(
rst : IN std_logic;
baudClk : IN std_logic;
baudOverSampleClk : IN std_logic;
serial_in : IN std_logic;
data_ready : OUT std_logic;
data_byte : OUT std_logic_vector((nBits-1) downto 0)
);
END COMPONENT;
 
--Inputs
signal rst : std_logic := '0';
signal baudClk : std_logic := '0';
signal baudOverSampleClk : std_logic := '0';
signal serial_in : std_logic := '0';
 
--Outputs
signal data_ready : std_logic;
signal data_byte : std_logic_vector((nBits-1) downto 0);
 
-- Clock period definitions
constant baudClk_period : time := 8.6805 us;
constant baudOverSampleClk_period : time := 1 us;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: serial_receiver PORT MAP (
rst => rst,
baudClk => baudClk,
baudOverSampleClk => baudOverSampleClk,
serial_in => serial_in,
data_ready => data_ready,
data_byte => data_byte
);
 
-- Clock process definitions
baudClk_process :process
begin
baudClk <= '0';
wait for baudClk_period/2;
baudClk <= '1';
wait for baudClk_period/2;
end process;
baudOverSampleClk_process :process
begin
baudOverSampleClk <= '0';
wait for baudOverSampleClk_period/2;
baudOverSampleClk <= '1';
wait for baudOverSampleClk_period/2;
end process;
 
-- Stimulus process
stim_proc: process
begin
rst <= '1';
serial_in <= '1'; -- Idle
wait for 3 us;
rst <= '0';
wait for baudClk_period * 3;
-- Receive 0xC4 value (11000100)
-- Start bit here
serial_in <= '0';
wait for baudClk_period;
serial_in <= '0';
wait for baudClk_period;
serial_in <= '0';
wait for baudClk_period;
serial_in <= '1';
wait for baudClk_period;
serial_in <= '0';
wait for baudClk_period;
serial_in <= '0';
wait for baudClk_period;
serial_in <= '0';
wait for baudClk_period;
serial_in <= '1';
wait for baudClk_period;
serial_in <= '1';
wait for baudClk_period;
-- Stop bit here
serial_in <= '1';
wait for baudClk_period * 3;
 
-- Stop Simulation
assert false report "NONE. End of simulation." severity failure;
 
wait;
end process;
 
END;
/uart_block/trunk/hdl/iseProject/serial_receiver_summary.html
0,0 → 1,113
<HTML><HEAD><TITLE>Xilinx Design Summary</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>serial_receiver Project Status (04/21/2012 - 12:13:10)</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>iseProject.xise</TD>
<TD BGCOLOR='#FFFF99'><b>Parser Errors:</b></TD>
<TD> No Errors </TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>serial_receiver</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
<TD>Synthesized</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
<TD>xc3s500e-4fg320</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
<TD>
No Errors</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.4</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='E:/uart_block/hdl/iseProject\_xmsgs/*.xmsgs?&DataKey=Warning'>9 Warnings (8 new)</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
<TD>Balanced</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
<TD>
&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
<TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD>
<A HREF_DISABLED='E:/uart_block/hdl/iseProject\serial_receiver_envsettings.html'>
System Settings</A>
</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
<TD>&nbsp;&nbsp;</TD>
</TR>
</TABLE>
 
 
 
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='4'><B>Device Utilization Summary (estimated values)</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DeviceUtilizationSummary(estimatedvalues)"><B>[-]</B></a></TD></TR>
<TR ALIGN=CENTER BGCOLOR='#FFFF99'>
<TD ALIGN=LEFT><B>Logic Utilization</B></TD><TD><B>Used</B></TD><TD><B>Available</B></TD><TD COLSPAN='2'><B>Utilization</B></TD></TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of Slices</TD>
<TD ALIGN=RIGHT>7</TD>
<TD ALIGN=RIGHT>4656</TD>
<TD ALIGN=RIGHT COLSPAN='2'>0%</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of Slice Flip Flops</TD>
<TD ALIGN=RIGHT>13</TD>
<TD ALIGN=RIGHT>9312</TD>
<TD ALIGN=RIGHT COLSPAN='2'>0%</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of 4 input LUTs</TD>
<TD ALIGN=RIGHT>4</TD>
<TD ALIGN=RIGHT>9312</TD>
<TD ALIGN=RIGHT COLSPAN='2'>0%</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of bonded IOBs</TD>
<TD ALIGN=RIGHT>13</TD>
<TD ALIGN=RIGHT>232</TD>
<TD ALIGN=RIGHT COLSPAN='2'>5%</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of GCLKs</TD>
<TD ALIGN=RIGHT>2</TD>
<TD ALIGN=RIGHT>24</TD>
<TD ALIGN=RIGHT COLSPAN='2'>8%</TD>
</TR>
</TABLE>
 
 
 
 
 
 
 
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
 
<TR ALIGN=LEFT><TD>Translation Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Map Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Place and Route Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Post-PAR Static Timing Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Bitgen Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
 
</TABLE>
 
 
<br><center><b>Date Generated:</b> 04/21/2012 - 12:13:10</center>
</BODY></HTML>
/uart_block/trunk/hdl/iseProject/serial_receiver.vhd
0,0 → 1,132
--! Data receiver
--! http://www.fpga4fun.com/SerialInterface.html
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
 
--! Use CPU Definitions package
use work.pkgDefinitions.all;
 
entity serial_receiver is
Port (
rst : in STD_LOGIC;
baudClk : in STD_LOGIC;
baudOverSampleClk : in STD_LOGIC;
serial_in : in STD_LOGIC;
data_ready : out STD_LOGIC;
data_byte : out STD_LOGIC_VECTOR ((nBits-1) downto 0));
end serial_receiver;
 
architecture Behavioral of serial_receiver is
signal current_s,next_s: rxStates;
signal filterRx : rxFilterStates;
signal syncDetected : std_logic;
 
begin
-- First we need to oversample(8x baud rate) out serial channel to syncronize with the PC
process (rst, baudOverSampleClk, serial_in)
begin
if rst = '1' then
filterRx <= s0;
syncDetected <= '0';
elsif rising_edge(baudOverSampleClk) then
case filterRx is
when s0 =>
syncDetected <= '0';
-- Spike down detected, verify if it's valid for at least 3 cycles
if serial_in = '0' then
filterRx <= s1;
else
filterRx <= s0;
end if;
when s1 =>
syncDetected <= '0';
if serial_in = '0' then
filterRx <= s2;
syncDetected <= '0';
else
filterRx <= s0;
end if;
when s2 =>
-- Real Beginning of start bit detected
if serial_in = '0' then
filterRx <= s2;
syncDetected <= '0';
else
-- Start bit end detected
filterRx <= s2;
syncDetected <= '1';
end if;
end case;
end if;
end process;
-- Next state logic for rx Receiver (On this case our reset is the syncDetected signal
process (syncDetected, baudClk, serial_in)
begin
if syncDetected = '0' then
current_s <= rx_idle;
elsif rising_edge(baudClk) then
current_s <= next_s;
end if;
end process;
-- Process to handle the serial receive
process (current_s)
begin
case current_s is
when rx_idle =>
data_ready <= '0';
--data_byte <= (others => 'Z');
next_s <= bit0;
when bit0 =>
data_ready <= '0';
data_byte(0) <= serial_in;
next_s <= bit1;
when bit1 =>
data_ready <= '0';
data_byte(1) <= serial_in;
next_s <= bit2;
when bit2 =>
data_ready <= '0';
data_byte(2) <= serial_in;
next_s <= bit3;
when bit3 =>
data_ready <= '0';
data_byte(3) <= serial_in;
next_s <= bit4;
when bit4 =>
data_ready <= '0';
data_byte(4) <= serial_in;
next_s <= bit5;
when bit5 =>
data_ready <= '0';
data_byte(5) <= serial_in;
next_s <= bit6;
when bit6 =>
data_ready <= '0';
data_byte(6) <= serial_in;
next_s <= bit7;
when bit7 =>
data_ready <= '0';
data_byte(7) <= serial_in;
next_s <= rx_stop;
when rx_stop =>
data_ready <= '1';
next_s <= rx_idle;
end case;
end process;
 
end Behavioral;
 
/uart_block/trunk/hdl/iseProject/fuseRelaunch.cmd
0,0 → 1,132
-intstyle "ise" -incremental -o "E:/uart_block/hdl/iseProject/testSerial_receiver_isim_beh.exe" -prj "E:/uart_block/hdl/iseProject/testSerial_receiver_beh.prj" "work.testSerial_receiver"
/uart_block/trunk/hdl/iseProject/serial_transmitter.stx Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
uart_block/trunk/hdl/iseProject/testSerial_transmitter_isim_beh.exe Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/serial_receiver.prj =================================================================== --- uart_block/trunk/hdl/iseProject/serial_receiver.prj (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_receiver.prj (revision 2) @@ -0,0 +1,2 @@ +vhdl work "pkgDefinitions.vhd" +vhdl work "serial_receiver.vhd" Index: uart_block/trunk/hdl/iseProject/serial_receiver_xst.xrpt =================================================================== --- uart_block/trunk/hdl/iseProject/serial_receiver_xst.xrpt (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_receiver_xst.xrpt (revision 2) @@ -0,0 +1,182 @@ + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + +
+
+ + + + +
+
+ + + +
+
+
+
+
+
+
+
+ + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + +
+
+
+ + + + + + + +
+
+
+
+
+ + + +
+ + + Index: uart_block/trunk/hdl/iseProject/pkgDefinitions.vhd =================================================================== --- uart_block/trunk/hdl/iseProject/pkgDefinitions.vhd (nonexistent) +++ uart_block/trunk/hdl/iseProject/pkgDefinitions.vhd (revision 2) @@ -0,0 +1,30 @@ +--! @file +--! @brief Global definitions + +--! @mainpage +--!

Main document of the uart_block project

\n +--!

Features

+--! Wishbone slave \n +--! Calculate baudrate based on clock speed \n\n +--! Interesting links \n +--! http://opencores.org/ \n + +--! Use standard library + +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +package pkgDefinitions is + +--! Declare constants, enums, functions used by the design +constant nBits : integer := 8; + +type txStates is (tx_idle, tx_start, bit0, bit1, bit2, bit3, bit4, bit5, bit6, bit7, tx_stop1, tx_stop2); +type rxStates is (rx_idle, bit0, bit1, bit2, bit3, bit4, bit5, bit6, bit7, rx_stop); +type rxFilterStates is (s0, s1, s2); + +end pkgDefinitions; + +package body pkgDefinitions is + +end pkgDefinitions; Index: uart_block/trunk/hdl/iseProject/iseProject.gise =================================================================== --- uart_block/trunk/hdl/iseProject/iseProject.gise (nonexistent) +++ uart_block/trunk/hdl/iseProject/iseProject.gise (revision 2) @@ -0,0 +1,162 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Index: uart_block/trunk/hdl/iseProject/serial_receiver_envsettings.html =================================================================== --- uart_block/trunk/hdl/iseProject/serial_receiver_envsettings.html (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_receiver_envsettings.html (revision 2) @@ -0,0 +1,389 @@ +Xilinx System Settings Report + +
System Settings

+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Environment Settings
Environment Variablexstngdbuildmappar
PATHEXT.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
< data not available >< data not available >< data not available >
Pathe:\Xilinx\13.4\ISE_DS\ISE\\lib\nt64;
e:\Xilinx\13.4\ISE_DS\ISE\\bin\nt64;
E:\Xilinx\13.4\ISE_DS\PlanAhead\bin;
E:\Xilinx\13.4\ISE_DS\ISE\bin\nt64;
E:\Xilinx\13.4\ISE_DS\ISE\lib\nt64;
E:\Xilinx\13.4\ISE_DS\EDK\bin\nt64;
E:\Xilinx\13.4\ISE_DS\EDK\lib\nt64;
E:\Xilinx\13.4\ISE_DS\EDK\gnu\microblaze\nt64\bin;
E:\Xilinx\13.4\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;
E:\Xilinx\13.4\ISE_DS\EDK\gnuwin\bin;
E:\Xilinx\13.4\ISE_DS\common\bin\nt64;
E:\Xilinx\13.4\ISE_DS\common\lib\nt64;
C:\Program Files\Common Files\Microsoft Shared\Windows Live;
C:\Program Files (x86)\Common Files\Microsoft Shared\Windows Live;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files\Intel\DMIX;
C:\Program Files (x86)\Windows Live\Shared;
C:\Program Files (x86)\Autodesk\Backburner\;
C:\Program Files (x86)\QuickTime\QTSystem\;
E:\Matlab12a\runtime\win64;
E:\Matlab12a\bin;
E:\Matlab\runtime\win64;
E:\Matlab\bin;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files\doxygen\bin
< data not available >< data not available >< data not available >
XILINXe:\Xilinx\13.4\ISE_DS\ISE\< data not available >< data not available >< data not available >
XILINX_DSPE:\Xilinx\13.4\ISE_DS\ISE< data not available >< data not available >< data not available >
XILINX_EDKE:\Xilinx\13.4\ISE_DS\EDK< data not available >< data not available >< data not available >
XILINX_FOR_ALTIUM_OVERRIDE < data not available >< data not available >< data not available >
XILINX_PLANAHEADE:\Xilinx\13.4\ISE_DS\PlanAhead< data not available >< data not available >< data not available >
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn serial_receiver.prj 
-ifmt mixedMIXED
-ofn serial_receiver 
-ofmt NGCNGC
-p xc3s500e-4-fg320 
-top serial_receiver 
-opt_modeOptimization GoalSpeedSPEED
-opt_levelOptimization Effort11
-iucUse synthesis Constraints FileNONO
-keep_hierarchyKeep HierarchyNoNO
-netlist_hierarchyNetlist HierarchyAs_Optimizedas_optimized
-rtlviewGenerate RTL SchematicYesNO
-glob_optGlobal Optimization GoalAllClockNetsALLCLOCKNETS
-read_coresRead CoresYESYES
-write_timing_constraintsWrite Timing ConstraintsNONO
-cross_clock_analysisCross Clock AnalysisNONO
-bus_delimiterBus Delimiter<><>
-slice_utilization_ratioSlice Utilization Ratio100100%
-bram_utilization_ratioBRAM Utilization Ratio100100%
-verilog2001Verilog 2001YESYES
-fsm_extract YESYES
-fsm_encoding AutoAUTO
-safe_implementation NoNO
-fsm_style LUTLUT
-ram_extract YesYES
-ram_style AutoAUTO
-rom_extract YesYES
-shreg_extract YESYES
-rom_style AutoAUTO
-auto_bram_packing NONO
-resource_sharing YESYES
-async_to_sync NONO
-mult_style AutoAUTO
-iobuf YESYES
-max_fanout 100000500
-bufg 2424
-register_duplication YESYES
-register_balancing NoNO
-optimize_primitives NONO
-use_clock_enable YesYES
-use_sync_set YesYES
-use_sync_reset YesYES
-iob AutoAUTO
-equivalent_register_removal YESYES
-slice_utilization_ratio_maxmargin 50%
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Operating System Information
Operating System Informationxstngdbuildmappar
CPU Architecture/SpeedIntel(R) Core(TM) i7-2600K CPU @ 3.40GHz/3502 MHz<  data not available  ><  data not available  ><  data not available  >
HostLeonardo-PC<  data not available  ><  data not available  ><  data not available  >
OS NameMicrosoft Windows 7 , 64-bit<  data not available  ><  data not available  ><  data not available  >
OS ReleaseService Pack 1 (build 7601)<  data not available  ><  data not available  ><  data not available  >
+ \ No newline at end of file Index: uart_block/trunk/hdl/iseProject/serial_transmitter.ngr =================================================================== --- uart_block/trunk/hdl/iseProject/serial_transmitter.ngr (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_transmitter.ngr (revision 2) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$9:x5>6638n0?~;?0ddd776*<8<047GAPTV9`drfWksi1950?33?=;B18GIT>3JEFADZ[EE58GWCF\LN87Nbd7:AooZ@TE81O?>5J:.wbvqYedfi`#ejc/hqfTwimmj~$h|fam]wvdhte`~i yhe18BAE43ON[86HId078BCb68<1MJi?>5:DE`44?3OLo=?#Fn59EBa433OLo?95IFe67?C@c==1MJi8;;GDg31=ANm2?7KHk929EWHeA43NDO>6G?2:K26>O5:2C8>6G;2:K66>O1:2C<86GAIUg8O@CWWACN^L\LHX58HJANKHF:7B64O/:4|aYK;2EY>>5@R218KW>33F<95@uu36?Jss99?0Cxz>149Lqq75991Dyy?=_hos[Jss9;;?7B{{259Lqq533F895@uu77?Jss>=1Dyy9;;Nww<1=H}}3?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P18VQJ43[yn6]FGCWP[LHAGh1XEJQMURKG\g=TANUIY^@NMD68P\VB12\BIZQ[YQG2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK5?]USD@H<7U][_FLG5`=_[]UBA]BJMDLWEQOHFVIDB^Z]AHLWW462RonRGk119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk5?0068gptumg~TRmbp^gr45659=1hy|jnu]p[fkwWl{;<==>4:avvwci|VyTo`~Pep2341733jy~h`{_r]`iuYby9:;9<:4ctpqakrX{Vif|Rk~012551=d}{xnbyQ|_bos[`w789=:86mzrsgmpZuXkdzTi|>?0937?fsuzldS~Qlmq]fu56718>0ox|}eov\wZejxVoz<=??159`qwtbf}UxSnc_ds3447d3mkmRlvtd>3:f=ci}kTntzj<0<`?agsiVhrxh2=>b9geqgXjp~n0>0l;ecweZd~|l6?2n5kauc\f|rb4<4h7io{a^`zp`:16l1omyoPbxvf82<76j1omyoPbxvf828>3mkmR}jnu18vvrf3zoyelbPotva?vcuahfTcxz>c:qfvlgkWf=ubz`kgSb{{4b9pawofdVe~x9?m;rgqmdjXg|~>o6}jrhco[jss=8h0h|fam]lqq0d3zoyelbPotv55g=tm{cj`Razt6a8w`tnieUdyy9>b:qfvlgkWf4n5|eskbhZir|1;h7~k}i`n\kpr?:j1xignl^mvp=5e3zoyelbPotv:a>ubz`kgSb{{_hos57=tm{cj`Rz}aoqnmqrbzo1xcx|le^djh`hfeln0b{}cd]omkcij8:0tn=|512f)1+ao:8;qMN1318DE~2>3L1>7?tSc861?4a2898<>8::04eg6}i=:0:7c;;:79'17<3l2wXm7;::3d95657;??1=;hl5:f;0?6=93;p_o4:5;0e>4548:<>6<8ic29uB10=83;1=7>tSc861?4a2898<>8::04eg6=#7?8{M73>4}#<803;6sC5082!>22o1v(9l5859Y3?7|;3wQ854>{58~m01=831b:h4?::m5b?6=3f4=96>54i7594?"3;30=96:54b4:94?`=93lp@8>51zJ1g>{K=809w)6::g9m<3<612w/8o4=0:k`>5<>i4>3:17b=8:188k65=831d?94?::m0=?6=3f9j6=44o2`94?=h;j0;66ah50;9l05<722h:j7>5f;g955}K=90:wE:50;9l7<<722e8m7>5;n1a>5<>i4m3:17b=i:188k16=831d?84?::m02?6=3f9<6=44b5d94?7=83:p(9l5239K0`=h:80;66sm1383>1<729q/8o4>0:J7a>J283;p(?l50:j5<7s->i6>o>2900eh4?::m71?6=3th:?7>54;294~"3j3;;7E:j;M73>4}#:k0;7pg7:188m<<722cn6=44o5794?=zj8o1<7:50;2x 1d=991C8h5C5182!4e291ve54?::k:>5<50z&7f?773A>n7A;?:0y'6g<73tc36=44i883>>ob2900c9;50;9~f4e=83>1<7>t$5`955=O{%0a>5=za10;66g6:188m`<722e?97>5;|`2f?6=<3:15<>i3=3:17pl>9;290?6=8r.?n7??;I6f?I3728q/>o4?;|k;>5<>o>2900eh4?::m71?6=3th:;7>54;294~"3j3;;7E:j;M73>4}#:k0;7pg7:188m<<722cn6=44o5794?=zj:21<7=50;2x 1d=;91C8h5f2283>>o5<3:17b==:9l0370?k:99>5f2116=547;<34>==z{?0;6iuQ6:?26??<58?18952158:?8742016=h46;<3g><=:9j0270?m:89>5d<>34;26452198:?870201v>=50;1xZ65<58?18852158f?xu4<3:1?vP<4:?20?2234;86h5rs2;94?5|V:301<=5449>5`53z\0e>;6m3>>70?k:d9~w6d=839pR>l4=0f900=:9j0n7p}`=z{:n1<7=t^2f894d=<<16=l4j;|q0a?6=;rT8i63>a;66?87>2l1v>h50;1xZ6`<58318852198f?xu383:1?vP;0:?27=<5<2wx?;4?:2y]73=:9;0?963<8;00?xu4?3:1?vP<7:?26?c<5:21>h5rs0494?4|5=l1><52148f?x{tk3:1>vPl;<3e>a=z{==1<72wx?84?:3y]70=:9o0896s|3783>7}Y;?16=k4<6:p72<72;qU?:521g803>{t;:0;6?uQ329>5c<4;2wx?94?:3y]71=:9o0886s|3883>7}Y;016=k4<9:p7d<72;qU?l521g80e>{t;k0;6?uQ3c9>5c<4j2wx?n4?:3y]7f=:9o08o6s|3e83>7}Y;m16=k4{t;o0;6?uQ3g9>5c<4n2wx8=4?:3y]05=:9o0?<6srb9194?732k0:iv*;b;:0?j0a2900e8750;9j1d<722c=87>5;h7a>5<>o1>3:17d;k:188m31=831b9h4?::k590;66g9a;29?l062900e;m50;9j27<722c=?7>5;c:1>5<4290;w):m:49K0`=n::0;66g=4;29?j4b2900qo9>:180>5<7s->i685G4d9j66<722c987>5;n0f>5<>o5<3:17b0=O>4?::k10?6=3f8n6=44}c54>5<4290;w):m:49K0`=n::0;66g=4;29?j4b2900qo96:180>5<7s->i685G4d9j66<722c987>5;n0f>5<>o5<3:17b0=O>4?::k10?6=3f8n6=44}c5e>5<>290;w):m:208L1c>o5=3:17d<9:188m71=831b>54?::k1=?6=3`8j6=44o3g94?=zj1:1<7:50;2x 1d=;81C8h5f2283>>o5<3:17d<::188k7c=831vn5?50;194?6|,=h1?=5G4d9j66<722c987>5;n0f>5<62;o0q~;6:181[3>34=m6?:4}r7b>5<5sW?j706=:318yv032909wS8;;<:1>725<5sW?h709<:318yv012909wS89;<50>726?:4}r7f>5<5sW?n7098:318yv0?2909wS87;<54>722;90q~86:181[0>34=26?:4}r43>5<5sW<;709m:318yv0f2909wS8n;<5a>725<5sW<9706?:318yv042909wS8<;<:3>737c<5>l1>>5rs6394?4|5>;1>h527g811>{t?;0;6?u27281a>;0n38<7p}84;296~;0=38n709i:348yv112909w098:3g892`=:11v:650;0x92?=:l16;k4=a:p3d<72;q6;o4=e:?4b?4>3ty52z?4`?4b342;6?:4}r5f>5<5s4=m6?k4=93966=z{>l1<7{t>o0;6?uQ6g9><6<1n2wx:i4?:3y]2a=:=108;6s|5883>7}:=108?6373;7:?xu2i3:1>v3:8;1:?8>42<6<2k2wx9i4?:3y>1=<4k273?7;k;|q56?6=:r7>47=:;<:0>346b<51919h5rs7194?4|5<21?;5282857>{t=o0;6?u25980a>;?;3?m7p}90;296~;2039m706<:728yv062909w0;7:5289=5=>81v;m50;0xZ3e<5191:n5+4`85f>h313:0q~8n:181[0f34286;o4$5c92g=i<00:7p}99;296~X11273?786;%6b>3d6s|6983>7}Y>1164>498:&7e?0e3g>26>5rs7594?4|V?=015=5669'0d<1j2d?57:4}r45>5<5sW<=706<:748 1g=>k1e844:;|q51?6=:rT=96373;46?!2f2?h0b9756:p21<72;qU:95282850>"3i3 + + + + + + + + 2 + /serial_transmitter - Behavioral E:|uart_block|hdl|iseProject|serial_transmitter.vhd + + + 2 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000e6000000020000000100000000000000000200000064ffffffff000000810000000300000002000000e60000000100000003000000000000000100000003 + true + + + + + 1 + Configure Target Device + Design Utilities + Implement Design + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000 + false + + + + + 1 + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000157000000040101000100000000000000000000000064ffffffff000000810000000000000004000000690000000100000000000000240000000100000000000000660000000100000000000000640000000100000000 + false + + + + + 1 + + + 0 + 0 + 000000ff000000000000000100000000000000000100000000000000000000000000000000000000f6000000010001000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000 + false + work + + + + 1 + Configure Target Device + Design Utilities + Implement Design + User Constraints + + + View RTL Schematic + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000 + false + View RTL Schematic + + + + 2 + + + serial_transmitter - Behavioral (E:/uart_block/hdl/iseProject/serial_transmitter.vhd) + + 0 + 0 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000147000000020000000000000000000000000200000064ffffffff000000810000000300000002000001470000000100000003000000000000000100000003 + false + serial_transmitter - Behavioral (E:/uart_block/hdl/iseProject/serial_transmitter.vhd) + + + + 1 + Design Utilities + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000 + false + + + + + 1 + + + ISim Simulator + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000 + false + ISim Simulator + + 000000ff00000000000000020000011b0000011b01000000040100000002 + Implementation + + + 1 + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000 + false + + + Index: uart_block/trunk/hdl/iseProject/iseconfig/serial_receiver.xreport =================================================================== --- uart_block/trunk/hdl/iseProject/iseconfig/serial_receiver.xreport (nonexistent) +++ uart_block/trunk/hdl/iseProject/iseconfig/serial_receiver.xreport (revision 2) @@ -0,0 +1,215 @@ + + +
+ 2012-04-21T11:42:00 + serial_receiver + 2012-04-21T11:41:51 + E:/uart_block/hdl/iseProject/iseconfig/serial_receiver.xreport + E:/uart_block/hdl/iseProject + 2012-04-21T11:41:59 + false +
+ + + + + + + + + + + + + + + + + + + + + + + +
Index: uart_block/trunk/hdl/iseProject/iseconfig/serial_transmitter.xreport =================================================================== --- uart_block/trunk/hdl/iseProject/iseconfig/serial_transmitter.xreport (nonexistent) +++ uart_block/trunk/hdl/iseProject/iseconfig/serial_transmitter.xreport (revision 2) @@ -0,0 +1,215 @@ + + +
+ 2012-04-21T00:50:59 + serial_transmitter + Unknown + E:/uart_block/hdl/iseProject/iseconfig/serial_transmitter.xreport + E:/uart_block/hdl/iseProject + 2012-04-20T23:52:34 + false +
+ + + + + + + + + + + + + + + + + + + + + + + +
Index: uart_block/trunk/hdl/iseProject/serial_transmitter.lso =================================================================== --- uart_block/trunk/hdl/iseProject/serial_transmitter.lso (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_transmitter.lso (revision 2) @@ -0,0 +1 @@ +work Index: uart_block/trunk/hdl/iseProject/serial_receiver.ngc =================================================================== --- uart_block/trunk/hdl/iseProject/serial_receiver.ngc (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_receiver.ngc (revision 2) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$7;x5>6638;04<948;MVPUSS2mkmRlvtd>4>5823K_MK]64BTQ\MK@H92I87NB]9:ALIHOS\LN<7N\JAUGG5>B53O>87KJL3:DGT4=@92C97D>=;H31?L433@H^J45FNHVPPDRB;2CEZ>5FOC08HA1I?3F$3;ujPL59LFP@33YKYXl5_IO]AQVOCPk1[ECQMURLBI@5erz{oexR}PFRO\EfusWOYFSd`y1^KMRZ66:2i~~kat^q\BVKXNOn:=>5luspfjqYtWOYFSKHk1131?fsuzldS~QISL]EBa46:2i~~kat^q\BVKXNOn8=?5luspfjqYtWOYFSKHk4008gptumg~TRH\M^DE`0753jy~h`{_r]EWHYANm<:>6mzrsgmpZuXNZGTJKj8139`qwtbf}UxSK]B_GDg<44bf|hUiuyk33?a8`drfWksi1:1c:fbpdYeq}o793m4d`vb[gsm5<5i6jnt`]a}qc;?3:5o6jnt`]a}qc;?7h0hlzn_c{waZ6682njxlQmyug\4ZIE]Oh0hlzn_c{waZ7682njxlQmyug\5ZIE]Oh0hlzn_c{waZ4682njxlQmyug\6ZIE]Oh0hlzn_c{waZ5682njxlQmyug\7ZIE]Oh0hlzn_c{waZ2682njxlQmyug\0ZIE]Oh0hlzn_c{waZ3682njxlQmyug\1ZIE]Oh0hlzn_c{waZ0682njxlQmyug\2ZIE]Oh0hlzn_c{waZ1682njxlQmyug\3ZIE]Ok0hlzn_sgb`|`5}su:8vvrXAK_M56}jrhco[lhb3zoyelbPio]JFP@a3zoyelbPrdafmscuk2yrbnJjtdawaa733zseoIk{ebvf`Zkrp9:;=<;4sxl`@`rbk}ooS`{w012251=sz|o:<6vl3r734`+3%om8>=sO@q335>FGp?91J7<51zQ:>7b=9m0:?>><64828c`b?sR5<3:1=7?52`yP=?4c28n1=>=?37795=dei2.9;7?7;%3e>3>2.9978<;[795~5=u`8n6=44i4d94?=h=>0;66g91;29?l572900c9850;&15?223g8;6=54o5694?"593>>7c7=>7c3=10n;:50;694?6|,;?1o6F=9:J12>o12900e:4?::kb>5<5<50z&11?703A827E<9;%35>315;|`7b?6=;3:13A8=7)?9:758md<722cn6=44o3194?=zj=n1<7=50;2x 73=9>1C>45G279'53<1?2cj6=44id83>>i5;3:17pl;b;297?6=8r.997?8;I0:?M413-;=6;94i`83>>ob2900c?=50;9~f1?=8391<7>t$37952=O:01C>;5+17853>of2900eh4?::m17?6=3th?;7>53;294~"5=3;<7E<6;I05?!712?=0el4?::kf>5<N5>2.:87>4i783>>o02900el4?::m17?6=3th>o7>54;294~"5=3i0D?74H348 42=82c=6=44i683>>of2900c?=50;9~f63=83>1<7>t$379`>N512B9:6*>4;38m3<722cj6=44i3094?=h::0;66sm3e83>1<729q/>84l;I0:?M413-;?6=5f6;29?l1=831bm7>5;n00>5<45G279'51<73`<1<75f7;29?lg=831d>>4?::a7g<72=0;6=u+248`?M4>3A8=7)?;:19j2?6=3`=1<75fa;29?j442900qo=n:187>5<7s-8>6n5G289K63=#9=0;7d850;9j3?6=3`k1<75`2283>>{e;00;694?:1y'605=n>3:17d950;9je?6=3f886=44}c1;>5<3290;w)<::b9K6<=O:?1/=94?;h494?=n?3:17do50;9l66<722wi?:4?:583>5}#:<0h7E<6;I05?!73291b:7>5;h594?=ni3:17b<<:188yg51290?6=4?{%06>f=O:01C>;5+1583?l0=831b;7>5;hc94?=h::0;66sm3583>1<729q/>84l;I0:?M413-;?6=5f6;29?l1=831bm7>5;n00>5<5;h32>5<5<>{e=k0;694?:1y'60<6i2B956F=6:&20?d>o6:3:17b?l:188yg0729096=4?{%06>45<@;30D?84ig83>>i6k3:17pl92;296?6=8r.997?<;I0:?M413`l1<75`1b83>>{e=10;6?4?:1y'60<6m2B956F=6:ke>5<5;n3`>5<5;|`66?6=:3:13A8=7dh50;9l5f<722wi9=4?:383>5}#:<0:i6F=9:J12>oa2900ct$3795`=O:01C>;5ff;29?j7d2900qo:l:181>5<7s-8>6c;29?xd3i3:1>7>50z&11?7b3A827E<9;hd94?=h9j0;66sm4983>7<729q/>84>e:J1=>N5>2cm6=44o0a94?=zj;l1<7<50;2x 73=<2B956F=6:ke>5<N5>2cm6=44o0a94?=zj:91<7<50;2x 73=9<1C>45G279jb?6=3f;h6=44}r0f>5<5sW8n70;56:?0`?0<5:i1:632785784=2:92>;4?3<01>856:?00?0<5;l1=n5rs2294?4|V::01>?5f:p74<72=q6:949;<7:>3=:=j0=70=>:0a8yv55290iw0=::308962=?278:794=2593>;403=01>757:?0e?1<5:h1;63650;1x907=m27847<<;<14>d=z{:31<7=t=5d9a>;4138870=7:`9~w6g=839p19j5e:?0e?4434926l5rs2`94?5|5=h1i63m50;1x91?=m278o7<<;<1a>d=z{:n1<7=t=559a>;4l38870=l:`9~w6c=838pR>k4=5:95f=z{:l1<750;0xZ16<5=i1=n5rs5394?4|V=;019k51b9~w14=838pR9<4=4295f=z{=91<71=n5rs5494?4|V=<018851b9~w11=838p1995229>0=52z?7=?4434>j6k5rs5`94?4|5=h1>>524b8e?xu3l3:1>v3;d;00?82b2o1v9h50;0x91`=::169=4i;|q65?6=:r7>=7<<;<71>c=z{<91<72h169o4>c:p1f<72;q69n4=3:?6f?763ty>h7>52z?6g?g<55f:p25<72=q6:948;<7:>2=:=j0<708?:0a8yv062909wS8>;<41>c=z{?81<7mt=479e>;2;3k018?5a:?7b?g<5=n1m63;b;c891?=i27?;7o4=4g955=:=k0:<6392;3`?80128:0q~8;:1818032;901>=5f:p20<72;q6:94n;<45>4e51zJ12>{iil0;65<6sA8=7p`m1;295~N5>2wen?4?:0yK63=zfk91<7?tH348ykd3290:wE<9;|la1?6=9rB9:6sab783>4}O:?1vbo950;3xL70{I05?xhe13:1=vF=6:mfd<728qC>;5rnc`94?7|@;<0qcll:182M413tdih7>51zJ12>{ijl0;65<6sA8=7p`l1;295~N5>2weo?4?:0yK63=zfj91<7?tH348yke3290:wE<9;|l`1?6=9rB9:6sac783>4}O:?1vbn950;3xL70{I05?xhd13:1=vF=6:mgd<728qC>;5rnb`94?7|@;<0qcml:182M413tdhh7>51zJ12>{ikl0;65<6sA8=7p`k1;295~N5>2weh?4?:0yK63=zfm91<7?tH348ykb3290:wE<9;|lg1?6=9rB9:6sad783>4}O:?1vqpsO@By244<0kmk>9=6r@A@x4xFGXrwKL \ No newline at end of file Index: uart_block/trunk/hdl/iseProject/serial_receiver_vhdl.prj =================================================================== --- uart_block/trunk/hdl/iseProject/serial_receiver_vhdl.prj (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_receiver_vhdl.prj (revision 2) @@ -0,0 +1,2 @@ +vhdl work "E:\uart_block\hdl\iseProject\pkgDefinitions.vhd" +vhdl work "E:\uart_block\hdl\iseProject\serial_receiver.vhd" Index: uart_block/trunk/hdl/iseProject/testSerial_receiver_beh.prj =================================================================== --- uart_block/trunk/hdl/iseProject/testSerial_receiver_beh.prj (nonexistent) +++ uart_block/trunk/hdl/iseProject/testSerial_receiver_beh.prj (revision 2) @@ -0,0 +1,3 @@ +vhdl work "pkgDefinitions.vhd" +vhdl work "serial_receiver.vhd" +vhdl work "testSerial_receiver.vhd" Index: uart_block/trunk/hdl/iseProject/testSerial_receiver_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/testSerial_receiver_isim_beh.wdb =================================================================== --- uart_block/trunk/hdl/iseProject/testSerial_receiver_isim_beh.wdb (nonexistent) +++ uart_block/trunk/hdl/iseProject/testSerial_receiver_isim_beh.wdb (revision 2)
uart_block/trunk/hdl/iseProject/testSerial_receiver_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/serial_transmitter.cmd_log =================================================================== --- uart_block/trunk/hdl/iseProject/serial_transmitter.cmd_log (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_transmitter.cmd_log (revision 2) @@ -0,0 +1,5 @@ +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_transmitter.xst" -ofn "E:/uart_block/hdl/iseProject/serial_transmitter.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_transmitter.xst" -ofn "E:/uart_block/hdl/iseProject/serial_transmitter.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_transmitter.xst" -ofn "E:/uart_block/hdl/iseProject/serial_transmitter.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_transmitter.xst" -ofn "E:/uart_block/hdl/iseProject/serial_transmitter.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_transmitter.xst" -ofn "E:/uart_block/hdl/iseProject/serial_transmitter.syr" Index: uart_block/trunk/hdl/iseProject/serial_receiver.stx =================================================================== Index: uart_block/trunk/hdl/iseProject/fuse.log =================================================================== --- uart_block/trunk/hdl/iseProject/fuse.log (nonexistent) +++ uart_block/trunk/hdl/iseProject/fuse.log (revision 2) @@ -0,0 +1,21 @@ +Running: e:\Xilinx\13.4\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -o E:/uart_block/hdl/iseProject/testSerial_receiver_isim_beh.exe -prj E:/uart_block/hdl/iseProject/testSerial_receiver_beh.prj work.testSerial_receiver +ISim O.87xd (signature 0xc3576ebc) +Number of CPUs detected in this system: 8 +Turning on mult-threading, number of parallel sub-compilation jobs: 16 +Determining compilation order of HDL files +Parsing VHDL file "E:/uart_block/hdl/iseProject/pkgDefinitions.vhd" into library work +Parsing VHDL file "E:/uart_block/hdl/iseProject/serial_receiver.vhd" into library work +Parsing VHDL file "E:/uart_block/hdl/iseProject/testSerial_receiver.vhd" into library work +Starting static elaboration +Completed static elaboration +Compiling package standard +Compiling package std_logic_1164 +Compiling package pkgdefinitions +Compiling architecture behavioral of entity serial_receiver [serial_receiver_default] +Compiling architecture behavior of entity testserial_receiver +Time Resolution for simulation is 1ps. +Waiting for 2 sub-compilation(s) to finish... +Compiled 6 VHDL Units +Built simulation executable E:/uart_block/hdl/iseProject/testSerial_receiver_isim_beh.exe +Fuse Memory Usage: 29424 KB +Fuse CPU Usage: 265 ms Index: uart_block/trunk/hdl/iseProject/testSerial_receiver_isim_beh.exe =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/testSerial_receiver_isim_beh.exe =================================================================== --- uart_block/trunk/hdl/iseProject/testSerial_receiver_isim_beh.exe (nonexistent) +++ uart_block/trunk/hdl/iseProject/testSerial_receiver_isim_beh.exe (revision 2)
uart_block/trunk/hdl/iseProject/testSerial_receiver_isim_beh.exe Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/webtalk_pn.xml =================================================================== --- uart_block/trunk/hdl/iseProject/webtalk_pn.xml (nonexistent) +++ uart_block/trunk/hdl/iseProject/webtalk_pn.xml (revision 2) @@ -0,0 +1,45 @@ + + + + +
+ + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
Index: uart_block/trunk/hdl/iseProject/serial_transmitter.syr =================================================================== --- uart_block/trunk/hdl/iseProject/serial_transmitter.syr (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_transmitter.syr (revision 2) @@ -0,0 +1,386 @@ +Release 13.4 - xst O.87xd (nt64) +Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. +--> Parameter TMPDIR set to xst/projnav.tmp + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.22 secs + +--> Parameter xsthdpdir set to xst + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.22 secs + +--> Reading design: serial_transmitter.prj + +TABLE OF CONTENTS + 1) Synthesis Options Summary + 2) HDL Compilation + 3) Design Hierarchy Analysis + 4) HDL Analysis + 5) HDL Synthesis + 5.1) HDL Synthesis Report + 6) Advanced HDL Synthesis + 6.1) Advanced HDL Synthesis Report + 7) Low Level Synthesis + 8) Partition Report + 9) Final Report + 9.1) Device utilization summary + 9.2) Partition Resource Summary + 9.3) TIMING REPORT + + +========================================================================= +* Synthesis Options Summary * +========================================================================= +---- Source Parameters +Input File Name : "serial_transmitter.prj" +Input Format : mixed +Ignore Synthesis Constraint File : NO + +---- Target Parameters +Output File Name : "serial_transmitter" +Output Format : NGC +Target Device : xc3s500e-4-fg320 + +---- Source Options +Top Module Name : serial_transmitter +Automatic FSM Extraction : YES +FSM Encoding Algorithm : Auto +Safe Implementation : No +FSM Style : LUT +RAM Extraction : Yes +RAM Style : Auto +ROM Extraction : Yes +Mux Style : Auto +Decoder Extraction : YES +Priority Encoder Extraction : Yes +Shift Register Extraction : YES +Logical Shifter Extraction : YES +XOR Collapsing : YES +ROM Style : Auto +Mux Extraction : Yes +Resource Sharing : YES +Asynchronous To Synchronous : NO +Multiplier Style : Auto +Automatic Register Balancing : No + +---- Target Options +Add IO Buffers : YES +Global Maximum Fanout : 100000 +Add Generic Clock Buffer(BUFG) : 24 +Register Duplication : YES +Slice Packing : YES +Optimize Instantiated Primitives : NO +Use Clock Enable : Yes +Use Synchronous Set : Yes +Use Synchronous Reset : Yes +Pack IO Registers into IOBs : Auto +Equivalent register Removal : YES + +---- General Options +Optimization Goal : Speed +Optimization Effort : 1 +Keep Hierarchy : No +Netlist Hierarchy : As_Optimized +RTL Output : Yes +Global Optimization : AllClockNets +Read Cores : YES +Write Timing Constraints : NO +Cross Clock Analysis : NO +Hierarchy Separator : / +Bus Delimiter : <> +Case Specifier : Maintain +Slice Utilization Ratio : 100 +BRAM Utilization Ratio : 100 +Verilog 2001 : YES +Auto BRAM Packing : NO +Slice Utilization Ratio Delta : 5 + +========================================================================= + + +========================================================================= +* HDL Compilation * +========================================================================= +Compiling vhdl file "E:/uart_block/hdl/iseProject/pkgDefinitions.vhd" in Library work. +Package compiled. +Package body compiled. +Compiling vhdl file "E:/uart_block/hdl/iseProject/serial_transmitter.vhd" in Library work. +Architecture behavioral of Entity serial_transmitter is up to date. + +========================================================================= +* Design Hierarchy Analysis * +========================================================================= +Analyzing hierarchy for entity in library (architecture ). + + +========================================================================= +* HDL Analysis * +========================================================================= +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + + +========================================================================= +* HDL Synthesis * +========================================================================= + +Performing bidirectional port resolution... + +Synthesizing Unit . + Related source file is "E:/uart_block/hdl/iseProject/serial_transmitter.vhd". + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 12 | + | Transitions | 12 | + | Inputs | 0 | + | Outputs | 13 | + | Clock | baudClk (rising_edge) | + | Reset | rst (positive) | + | Reset type | asynchronous | + | Reset State | tx_idle | + | Power Up State | tx_idle | + | Encoding | automatic | + | Implementation | LUT | + ----------------------------------------------------------------------- + Summary: + inferred 1 Finite State Machine(s). +Unit synthesized. + + +========================================================================= +HDL Synthesis Report + +Found no macro +========================================================================= + +========================================================================= +* Advanced HDL Synthesis * +========================================================================= + +Analyzing FSM for best encoding. +Optimizing FSM on signal with one-hot encoding. +-------------------------- + State | Encoding +-------------------------- + tx_idle | 000000000001 + tx_start | 000000000010 + bit0 | 000000000100 + bit1 | 000000001000 + bit2 | 000000010000 + bit3 | 000000100000 + bit4 | 000001000000 + bit5 | 000010000000 + bit6 | 000100000000 + bit7 | 001000000000 + tx_stop1 | 010000000000 + tx_stop2 | 100000000000 +-------------------------- + +========================================================================= +Advanced HDL Synthesis Report + +Macro Statistics +# FSMs : 1 + +========================================================================= + +========================================================================= +* Low Level Synthesis * +========================================================================= + +Optimizing unit ... + +Mapping all equations... +Building and optimizing final netlist ... +Found area constraint ratio of 100 (+ 5) on block serial_transmitter, actual ratio is 0. + +Final Macro Processing ... + +========================================================================= +Final Register Report + +Macro Statistics +# Registers : 12 + Flip-Flops : 12 + +========================================================================= + +========================================================================= +* Partition Report * +========================================================================= + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +========================================================================= +* Final Report * +========================================================================= +Final Results +RTL Top Level Output File Name : serial_transmitter.ngr +Top Level Output File Name : serial_transmitter +Output Format : NGC +Optimization Goal : Speed +Keep Hierarchy : No + +Design Statistics +# IOs : 12 + +Cell Usage : +# BELS : 9 +# GND : 1 +# LUT2 : 1 +# LUT4 : 6 +# VCC : 1 +# FlipFlops/Latches : 12 +# FDC : 10 +# FDCE : 1 +# FDP : 1 +# Clock Buffers : 1 +# BUFGP : 1 +# IO Buffers : 11 +# IBUF : 9 +# OBUF : 2 +========================================================================= + +Device utilization summary: +--------------------------- + +Selected Device : 3s500efg320-4 + + Number of Slices: 7 out of 4656 0% + Number of Slice Flip Flops: 12 out of 9312 0% + Number of 4 input LUTs: 7 out of 9312 0% + Number of IOs: 12 + Number of bonded IOBs: 12 out of 232 5% + Number of GCLKs: 1 out of 24 4% + +--------------------------- +Partition Resource Summary: +--------------------------- + + No Partitions were found in this design. + +--------------------------- + + +========================================================================= +TIMING REPORT + +NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. + FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT + GENERATED AFTER PLACE-and-ROUTE. + +Clock Information: +------------------ +-----------------------------------+------------------------+-------+ +Clock Signal | Clock buffer(FF name) | Load | +-----------------------------------+------------------------+-------+ +baudClk | BUFGP | 12 | +-----------------------------------+------------------------+-------+ + +Asynchronous Control Signals Information: +---------------------------------------- +-----------------------------------+------------------------+-------+ +Control Signal | Buffer(FF name) | Load | +-----------------------------------+------------------------+-------+ +rst | IBUF | 12 | +-----------------------------------+------------------------+-------+ + +Timing Summary: +--------------- +Speed Grade: -4 + + Minimum period: 1.677ns (Maximum Frequency: 596.303MHz) + Minimum input arrival time before clock: No path found + Maximum output required time after clock: 8.036ns + Maximum combinational path delay: 8.540ns + +Timing Detail: +-------------- +All values displayed in nanoseconds (ns) + +========================================================================= +Timing constraint: Default period analysis for Clock 'baudClk' + Clock period: 1.677ns (frequency: 596.303MHz) + Total number of paths / destination ports: 11 / 11 +------------------------------------------------------------------------- +Delay: 1.677ns (Levels of Logic = 0) + Source: current_s_FSM_FFd2 (FF) + Destination: current_s_FSM_FFd1 (FF) + Source Clock: baudClk rising + Destination Clock: baudClk rising + + Data Path: current_s_FSM_FFd2 to current_s_FSM_FFd1 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDC:C->Q 3 0.591 0.531 current_s_FSM_FFd2 (current_s_FSM_FFd2) + FDCE:CE 0.555 current_s_FSM_FFd1 + ---------------------------------------- + Total 1.677ns (1.146ns logic, 0.531ns route) + (68.3% logic, 31.7% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'baudClk' + Total number of paths / destination ports: 13 / 2 +------------------------------------------------------------------------- +Offset: 8.036ns (Levels of Logic = 4) + Source: current_s_FSM_FFd7 (FF) + Destination: serial_out (PAD) + Source Clock: baudClk rising + + Data Path: current_s_FSM_FFd7 to serial_out + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDC:C->Q 2 0.591 0.622 current_s_FSM_FFd7 (current_s_FSM_FFd7) + LUT4:I0->O 1 0.704 0.595 serial_out12 (serial_out12) + LUT4:I0->O 1 0.704 0.424 serial_out48_SW0 (N01) + LUT4:I3->O 1 0.704 0.420 serial_out48 (serial_out_OBUF) + OBUF:I->O 3.272 serial_out_OBUF (serial_out) + ---------------------------------------- + Total 8.036ns (5.975ns logic, 2.061ns route) + (74.4% logic, 25.6% route) + +========================================================================= +Timing constraint: Default path analysis + Total number of paths / destination ports: 8 / 1 +------------------------------------------------------------------------- +Delay: 8.540ns (Levels of Logic = 5) + Source: data_byte<3> (PAD) + Destination: serial_out (PAD) + + Data Path: data_byte<3> to serial_out + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + IBUF:I->O 1 1.218 0.499 data_byte_3_IBUF (data_byte_3_IBUF) + LUT4:I1->O 1 0.704 0.595 serial_out12 (serial_out12) + LUT4:I0->O 1 0.704 0.424 serial_out48_SW0 (N01) + LUT4:I3->O 1 0.704 0.420 serial_out48 (serial_out_OBUF) + OBUF:I->O 3.272 serial_out_OBUF (serial_out) + ---------------------------------------- + Total 8.540ns (6.602ns logic, 1.938ns route) + (77.3% logic, 22.7% route) + +========================================================================= + + +Total REAL time to Xst completion: 5.00 secs +Total CPU time to Xst completion: 5.00 secs + +--> + +Total memory usage is 255476 kilobytes + +Number of errors : 0 ( 0 filtered) +Number of warnings : 0 ( 0 filtered) +Number of infos : 0 ( 0 filtered) + Index: uart_block/trunk/hdl/iseProject/serial_transmitter.xst =================================================================== --- uart_block/trunk/hdl/iseProject/serial_transmitter.xst (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_transmitter.xst (revision 2) @@ -0,0 +1,56 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn serial_transmitter.prj +-ifmt mixed +-ofn serial_transmitter +-ofmt NGC +-p xc3s500e-4-fg320 +-top serial_transmitter +-opt_mode Speed +-opt_level 1 +-iuc NO +-keep_hierarchy No +-netlist_hierarchy As_Optimized +-rtlview Yes +-glob_opt AllClockNets +-read_cores YES +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +-verilog2001 YES +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-fsm_style LUT +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-mux_style Auto +-decoder_extract YES +-priority_extract Yes +-shreg_extract YES +-shift_extract YES +-xor_collapse YES +-rom_style Auto +-auto_bram_packing NO +-mux_extract Yes +-resource_sharing YES +-async_to_sync NO +-mult_style Auto +-iobuf YES +-max_fanout 100000 +-bufg 24 +-register_duplication YES +-register_balancing No +-slice_packing YES +-optimize_primitives NO +-use_clock_enable Yes +-use_sync_set Yes +-use_sync_reset Yes +-iob Auto +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 Index: uart_block/trunk/hdl/iseProject/serial_receiver.ngr =================================================================== --- uart_block/trunk/hdl/iseProject/serial_receiver.ngr (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_receiver.ngr (revision 2) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$97x5>6638n0?~;?0ddd776*<8=047AZTQWW>agsiVhrxh28:1<24>>=lh~jSow{e=594;2Gd{}UM_@m4Abqw[CUJW`d}=h5Ncrv\BVKXag|Te`~PAbqw[CUJW`d}=55MUR]JJCI63J90OA\6;BMNILRSMM=0O_KNTDF0?Fjl?2IggRH\M09G75=B2&j~yQmlnah+mbk'`yn\aeebv,w`tnieUyinkfvdp-rmb43ONH?6HKP59EBa703OLo= Ga8:DE`4+Nf830JKj>-Hl25==ANm;&Ec1MJi<"Io37?C@c:$CeSdc_GDg6(Oi9=1MJi=;;GDg01=ANm??7KHk659EBa133OLo495IFe;0?CUJk2LXAR>"p`pfjqeA33Nki<5F2:K36>O6<2CEEY=4IOT0?Lh7m2ANI]QGIDPBVFN^:2FO;6B@GHABHg=KXDUGMYMG_038K==H&1=shRB<;NP11>IU:K;?7B{{059Lqq733F>95@uu17?Jss<=1Dyy;;;Nww21=H}}=?7B{{859SEWRf3YCESO[\IEZa?UOIWK_XBLCJ3:RQA4=V;2X_@>5]su`8WLAE]ZUBBKAn;RKD[GSTAMRi7^GH_CWPJDKB<2^R\H74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA?1S_YBFB0g8\VRXADZGI@KAT@VJKKYDGGY_^LGATR33?]USW[^GS]\@PDPW]3=_lkUBh<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us12RxxJC`ddn4?ggrlJf`=<5matfLr`tTidzgiNbd9:avvwci|Vy:86mzrsgmpZuXkdzTi|>?0137?fsuzldS~Qlmq]fu56798>0ox|}eov\wZejxVoz<=>=159`qwtbf}UxSnc_ds34556<2i~~kat^q\ghvXmx:;<9?;;bwqv`hsWzUha}Qjq123142b9geqgXjp~n0<0l;ecweZd~|l692n5kauc\f|rb4:4h7io{a^`zp`:36j1omyoPbxvf808d3mkmRlvtd>5:`=ci}kTntzj<683:f=ci}kTntzj<6bf|hUiuykP5c9geqgXjp~nS;l4d`vb[gsmV=j7io{a^pfea?3ocgxh|]x018bljsm{XsSnc_ds345423ekoe64pnn\cdrb12zd~yQkauc:?uiu|Vmjxh84pnpw[t54:qzjfBb|liiiQbuy23444b3zseoIk{ebvf`Zkrp9:;=Rgbp^qzjfBb|liiiQbuy23447682rh?~;?0d/7)ca4:9wKL}?:3:BC|00=N381=v]j:47973<6;::8:84>8c`bk34281e9949;%71>1c<0:4oln;wD73?6=93;18c`b?!2c2:90(9<52:`4a?6=l3i1=5uC5182!2521>0qA;>:0y'3c<6:2w/8n48e:Xb>4}42tP?57?t4;j12<722c5;n50>5<n1<75f5983>>i1i3:1(9:5689m06<732e=47>5$5692<=i<:0:76a97;29 12=>01e8>4=;:m52?6=,=>1:45a4280?>i1=3:1(9:5689m06<332e=87>5$5692<=i<:0>76a93;29 12=>01e8>49;:m56?6=,=>1:45a4284?>d213:1n7?5bzN64?7|@:;0qA;>:3y'3c<6:2d3<7::;|&7g?403`l1<75f4983>>i413:17b=n:188k6d=831d?n4?::m0`?6=3f9n6=44o2d94?=h<90;66a;1;29?g41290i6o4k{M73>4}O;81v(9m5589j55<722c<6=44o2;94?=h;h0;66aj50;9l7`<722e8j7>5;n63>5<1<729q/8n4>4:J7b>J283;p(?k51:jf?6=3`;:6=44i5794?=h1<729q/8n4>3:J7b>J283;p(?k50:jf?6=3`i1<75f1083>>i3>3:17pl=4;290?6=8r.?o7?<;I6e?I3728q/>h4?;|ka>5<1;29?j212900qo<<:187>5<7s->h6<=4H5d8H06=9r.9i7>4}h`94?=nk3:17d?>:188k10=831vn?<50;694?6|,=i1=>5G4g9O15<6s-8n6=5ric83>>od2900e<4?:583>5}#54;294~"3k3;87E:i;M73>4}#:l0;7pgm:188mf<722c:=7>5;n65>5<5<>{e9<0;694?:1y'0f<6;2B?j6B:0;3x 7c=82wbn7>5;ha94?=n980;66a;6;29?xd>29096=4?{%6`>7`<@=l0e?l50;9l70<722wx=o4?:3y>5g<3>27997?>;|q24?6=jrT:<63>b;`8973=j27987l4=319f>;5:3h01??5b:?14?d<58l1n63>c;`8943=j2wx;7>52z\4?8?=:k1v>750;1xZ6?<5;?18;5225825>{t;h0;6>uQ3`9>61<3>279?7?>;|q0f?6=;rT8n63=3;65?84528;0q~=l:180[5d34896984=33954=z{:n1<7=t^2f8977==4>1:p7`<72:qU?h5221872>;6n3;:7p}47;6=4<{_63?87d2=<01<;5109~w17=839pR9?4=0`954=:9<0?:6s|8;29f~;6j3>>70?::b9>5f?4l;<00>f=::=0h70<::b9>=?523twxj7>52z\e?84128:0q~:7:181[2?348=6:5rs2;94?4|V:301?85389~w6g=838pR>o4=3497d=z{:h1<7m50;0xZ6e<5;<1?n5rs2f94?4|V:n01?853e9~w6c=838pR>k4=3497`=z{:l1<750;0xZ16<5;<18=5rs5394?4|V=;01?85409~yg13290=6<49{M73>4}O;81v@8?52z&4b?753g2;67>2900c>o50;9l7g<722c8<7>5;c05>5<12=0>o683:17d950;9l7<<722e8m7>5;n1a>5<5<>{e9j0;694?:1y'0f<6;2B?j6B:0;3x 7c=82wbn7>5;ha94?=n980;66a;6;29?xd6i3:187<55z&7g?7f3`9;6=44i0a94?=n;k0;66a>6;29?g7>29086=4?{%6`>3=On4?::k1`?6=3f9>6=44}c3;>5<4290;w):l:258L1`>i4=3:17p}<0;296~X4827:579;0g?xu4j3:1>vP52z\22>;6039>7p}>7;296~;6139>70?7:3f8yxd6m3:1?7>50z&7g?5?3A>m7d53z\04>;6i39;70?j:3a8yv772908wS??;<36>g=:9j0i7p}8:180[1<58?1o63>c;a8yv5e290?wS=m;<36>10<58k1?o521d81`>{t9?0;6?u214825>;6i3;=7p}>d;296~;6k3;:70?j:278yxua2909wSh4=34955=z{=21<77}Y;h16>;4{t;90;6?uQ319>63<482wvn;l50;195?5|D<:1=vF<1:O14<0sg2;6884$6a933=#?10:=6*8a;65?!1>2;k0b:951:&4b?753t.?o7=;;h32>5<>d729086=4?{%6`>64<@=l0e5;|q25?6=:rT:=63?:038yv212909wS:9;<2903=z{;k1<72;k0b:951:&4b?753t.?o7=;;h32>5<>d729086=4?{%6`>64<@=l0e5;|q25?6=:rT:=63?:038yv212909wS:9;<2903=z{;k1<74$6a933=#?10:=6*8a;65?!1>2;k0b:951:&4b?753t.?o7=;;h32>5<>d729086=4?{%6`>64<@=l0e5;|q25?6=:rT:=63?:038yv212909wS:9;<2903=z{;k1<72;k0b:951:&4b?753t.?o7=;;h32>5<>d729086=4?{%6`>64<@=l0e5;|q25?6=:rT:=63?:038yv212909wS:9;<2903=z{;k1<72;k0b:951:&4b?753t.?o7=;;h32>5<>d729086=4?{%6`>64<@=l0e5;|q25?6=:rT:=63?:038yv212909wS:9;<2903=z{;k1<750;195?5|D<:1=vF<1:O14<0sg2;6;h4$6a933=#?10:=6*8a;65?!1>2;k0b:951:&4b?753t.?o7=;;h32>5<>d729086=4?{%6`>64<@=l0e5;|q25?6=:rT:=63?:038yv212909wS:9;<2903=z{;k1<72;k0b:951:&4b?753t.?o7=;;h32>5<>d729086=4?{%6`>64<@=l0e5;|q25?6=:rT:=63?:038yv212909wS:9;<2903=z{;k1<72;k0b:951:&4b?753t.?o7=;;h32>5<>d729086=4?{%6`>64<@=l0e5;|q25?6=:rT:=63?:038yv212909wS:9;<2903=z{;k1<75G4g9O14<5s-=m6<<4n9295`=zak0;66gl:188m47=831d8;4?::a<6<72:0;6=u+4b86?M2a3`8h6=44i3f94?=h;<0;66s|5683>7}Y=>16944i;|q4f?6=;rT62j1v:=50;0xZ25<5<318<5rs6f94?d|V>n01::5319>2g<6927=o7?>;<4g>47<5?o1=<526g825>;083;:709>:038924=98164>4=d:p1=<72:qU9552758e?8>62k1v8o50;0x90?=;016:o4=a:p1g<72;q6944o7>52z?6=?5e345<5s4?26>m4=7g96d=z{o09m6s|5g83>7}:=008i6380;0b?xu183:1>v3:9;1e?8162;k0q~8>:18183>2=:01:<52`9~w=4=838p15?5109><6<4=2wx;84?:3y>31<4j273?757:7;<:2>1052z\5<>;093>=7):m:7;8j1g=92wx::4?:3y]22=:?90?:6*;b;4:?k2f2;1v;850;0xZ30<5?l18;5+4c85=>h3i390q~8::181[02343?7}Y>:16:n4;6:&7f?0>3g>j6;5rs7094?4|V?801;l5479'0g<112d?m794}|~yEFDs8?869;6530;4xFGJr:vLM^t}AB \ No newline at end of file Index: uart_block/trunk/hdl/iseProject/serial_transmitter.vhd =================================================================== --- uart_block/trunk/hdl/iseProject/serial_transmitter.vhd (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_transmitter.vhd (revision 2) @@ -0,0 +1,100 @@ +--! Data transmitter +--! http://www.fpga4fun.com/SerialInterface.html +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +--! Use CPU Definitions package +use work.pkgDefinitions.all; + +entity serial_transmitter is + Port ( rst : in STD_LOGIC; + baudClk : in STD_LOGIC; + data_byte : in STD_LOGIC_VECTOR ((nBits-1) downto 0); + data_sent : out STD_LOGIC; + serial_out : out STD_LOGIC); +end serial_transmitter; + +architecture Behavioral of serial_transmitter is +signal current_s,next_s: txStates; +begin + + -- Next state process + process (rst, baudClk) + begin + if rst = '1' then + current_s <= tx_idle; + elsif rising_edge(baudClk) then + current_s <= next_s; + end if; + end process; + + process (current_s, data_byte) + begin + case current_s is + when tx_idle => + serial_out <= '1'; + data_sent <= '0'; + next_s <= tx_start; + + -- Start bit + when tx_start => + serial_out <= '0'; + data_sent <= '0'; + next_s <= bit0; + + when bit0 => -- Send the least significat bit + serial_out <= data_byte(0); + data_sent <= '0'; + next_s <= bit1; + + when bit1 => + serial_out <= data_byte(1); + data_sent <= '0'; + next_s <= bit2; + + when bit2 => + serial_out <= data_byte(2); + data_sent <= '0'; + next_s <= bit3; + + when bit3 => + serial_out <= data_byte(3); + data_sent <= '0'; + next_s <= bit4; + + when bit4 => + serial_out <= data_byte(4); + data_sent <= '0'; + next_s <= bit5; + + when bit5 => + serial_out <= data_byte(5); + data_sent <= '0'; + next_s <= bit6; + + when bit6 => + serial_out <= data_byte(6); + data_sent <= '0'; + next_s <= bit7; + + when bit7 => -- Send the most significat bit + serial_out <= data_byte(7); + data_sent <= '0'; + next_s <= tx_stop1; + + + when tx_stop1 => + serial_out <= '1'; + data_sent <= '1'; + next_s <= tx_stop2; + + when tx_stop2 => -- Stop here and wait for other reset + serial_out <= '1'; + data_sent <= '1'; + next_s <= tx_stop2; + + end case; + end process; + +end Behavioral; + Index: uart_block/trunk/hdl/iseProject/serial_transmitter_summary.html =================================================================== --- uart_block/trunk/hdl/iseProject/serial_transmitter_summary.html (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_transmitter_summary.html (revision 2) @@ -0,0 +1,113 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
serial_receiver Project Status (04/21/2012 - 12:13:10)
Project File:iseProject.xiseParser Errors: No Errors
Module Name:serial_transmitterImplementation State:Synthesized
Target Device:xc3s500e-4fg320
  • Errors:
 
Product Version:ISE 13.4
  • Warnings:
 
Design Goal:Balanced
  • Routing Results:
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: + +System Settings +
  • Final Timing Score:
  
+ + + + 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Device Utilization Summary (estimated values) [-]
Logic UtilizationUsedAvailableUtilization
Number of Slices746560%
Number of Slice Flip Flops1293120%
Number of 4 input LUTs793120%
Number of bonded IOBs122325%
Number of GCLKs1244%
+ + + + + + + + 
+ + + + + + + + + + + +
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis ReportCurrentsáb 21. abr 11:41:49 2012   
Translation Report     
Map Report     
Place and Route Report     
CPLD Fitter Report (Text)     
Power Report     
Post-PAR Static Timing Report     
Bitgen Report     

+ + + +
Secondary Reports [-]
Report NameStatusGenerated
ISIM Simulator LogOut of Datesáb 21. abr 09:34:09 2012
+ + +
Date Generated: 04/21/2012 - 12:13:10
+ \ No newline at end of file Index: uart_block/trunk/hdl/iseProject/testSerial_transmitter.vhd =================================================================== --- uart_block/trunk/hdl/iseProject/testSerial_transmitter.vhd (nonexistent) +++ uart_block/trunk/hdl/iseProject/testSerial_transmitter.vhd (revision 2) @@ -0,0 +1,85 @@ +--! Test serial_transmitter module +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +--! Use CPU Definitions package +use work.pkgDefinitions.all; + +ENTITY testSerial_transmitter IS +END testSerial_transmitter; + +ARCHITECTURE behavior OF testSerial_transmitter IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT serial_transmitter + PORT( + rst : IN std_logic; + baudClk : IN std_logic; + data_byte : IN std_logic_vector(7 downto 0); + data_sent : OUT std_logic; + serial_out : OUT std_logic + ); + END COMPONENT; + + + --Inputs + signal rst : std_logic := '0'; + signal baudClk : std_logic := '0'; + signal data_byte : std_logic_vector(7 downto 0) := (others => '0'); + + --Outputs + signal data_sent : std_logic; + signal serial_out : std_logic; + + -- Clock period definitions + constant baudClk_period : time := 10 ns; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: serial_transmitter PORT MAP ( + rst => rst, + baudClk => baudClk, + data_byte => data_byte, + data_sent => data_sent, + serial_out => serial_out + ); + + -- Clock process definitions + baudClk_process :process + begin + baudClk <= '0'; + wait for baudClk_period/2; + baudClk <= '1'; + wait for baudClk_period/2; + end process; + + + -- Stimulus process + stim_proc: process + begin + -- Prepare the data to be sent 0x55 + rst <= '1'; + data_byte <= "01010101"; + wait for 50 ns; + rst <= '0'; + + wait until data_sent = '1'; + wait for baudClk_period*3; + + -- Prepare the data to be sent + rst <= '1'; + data_byte <= "11000100"; + wait for 50 ns; + rst <= '0'; + + wait until data_sent = '1'; + wait for baudClk_period*3; + + -- insert stimulus here + + wait; + end process; + +END; Index: uart_block/trunk/hdl/iseProject/serial_receiver.lso =================================================================== --- uart_block/trunk/hdl/iseProject/serial_receiver.lso (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_receiver.lso (revision 2) @@ -0,0 +1 @@ +work Index: uart_block/trunk/hdl/iseProject/_xmsgs/pn_parser.xmsgs =================================================================== --- uart_block/trunk/hdl/iseProject/_xmsgs/pn_parser.xmsgs (nonexistent) +++ uart_block/trunk/hdl/iseProject/_xmsgs/pn_parser.xmsgs (revision 2) @@ -0,0 +1,15 @@ + + + + + + + + + + +Parsing VHDL file "E:/uart_block/hdl/iseProject/testSerial_receiver.vhd" into library work + + + + Index: uart_block/trunk/hdl/iseProject/_xmsgs/xst.xmsgs =================================================================== --- uart_block/trunk/hdl/iseProject/_xmsgs/xst.xmsgs (nonexistent) +++ uart_block/trunk/hdl/iseProject/_xmsgs/xst.xmsgs (revision 2) @@ -0,0 +1,40 @@ + + + +"E:/uart_block/hdl/iseProject/serial_receiver.vhd" line 76: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: +<serial_in> + + +Found 1-bit latch for signal <data_byte_0>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + + +Found 1-bit latch for signal <data_byte_1>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + + +Found 1-bit latch for signal <data_byte_2>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + + +Found 1-bit latch for signal <data_byte_3>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + + +Found 1-bit latch for signal <data_byte_4>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + + +Found 1-bit latch for signal <data_byte_5>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + + +Found 1-bit latch for signal <data_byte_6>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + + +Found 1-bit latch for signal <data_byte_7>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + + +HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. + + + + Index: uart_block/trunk/hdl/iseProject/isim/work/pkgdefinitions.vdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/work/pkgdefinitions.vdb =================================================================== --- uart_block/trunk/hdl/iseProject/isim/work/pkgdefinitions.vdb (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/work/pkgdefinitions.vdb (revision 2)
uart_block/trunk/hdl/iseProject/isim/work/pkgdefinitions.vdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/work/testserial_receiver.vdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/work/testserial_receiver.vdb =================================================================== --- uart_block/trunk/hdl/iseProject/isim/work/testserial_receiver.vdb (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/work/testserial_receiver.vdb (revision 2)
uart_block/trunk/hdl/iseProject/isim/work/testserial_receiver.vdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/work/serial_receiver.vdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/work/serial_receiver.vdb =================================================================== --- uart_block/trunk/hdl/iseProject/isim/work/serial_receiver.vdb (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/work/serial_receiver.vdb (revision 2)
uart_block/trunk/hdl/iseProject/isim/work/serial_receiver.vdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/isim_usage_statistics.html =================================================================== --- uart_block/trunk/hdl/iseProject/isim/isim_usage_statistics.html (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/isim_usage_statistics.html (revision 2) @@ -0,0 +1,5 @@ + + + + + Index: uart_block/trunk/hdl/iseProject/isim/pn_info =================================================================== --- uart_block/trunk/hdl/iseProject/isim/pn_info (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/pn_info (revision 2) @@ -0,0 +1 @@ +13.4 Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.c =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.c (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.c (revision 2) @@ -0,0 +1,572 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xc3576ebc */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "E:/uart_block/hdl/iseProject/serial_receiver.vhd"; +extern char *IEEE_P_2592010699; + +unsigned char ieee_p_2592010699_sub_1744673427_503743352(char *, char *, unsigned int , unsigned int ); + + +static void work_a_2895088356_3212880686_p_0(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + unsigned char t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + static char *nl0[] = {&&LAB8, &&LAB9, &&LAB10}; + +LAB0: xsi_set_current_line(28, ng0); + t1 = (t0 + 1032U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t4 = (t3 == (unsigned char)3); + if (t4 != 0) + goto LAB2; + +LAB4: t1 = (t0 + 1312U); + t3 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U); + if (t3 != 0) + goto LAB5; + +LAB6: +LAB3: t1 = (t0 + 4448); + *((int *)t1) = 1; + +LAB1: return; +LAB2: xsi_set_current_line(29, ng0); + t1 = (t0 + 4560); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + *((unsigned char *)t8) = (unsigned char)0; + xsi_driver_first_trans_fast(t1); + xsi_set_current_line(30, ng0); + t1 = (t0 + 4624); + t2 = (t1 + 56U); + t5 = *((char **)t2); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = (unsigned char)2; + xsi_driver_first_trans_fast(t1); + goto LAB3; + +LAB5: xsi_set_current_line(32, ng0); + t2 = (t0 + 2312U); + t5 = *((char **)t2); + t4 = *((unsigned char *)t5); + t2 = (char *)((nl0) + t4); + goto **((char **)t2); + +LAB7: goto LAB3; + +LAB8: xsi_set_current_line(34, ng0); + t6 = (t0 + 4624); + t7 = (t6 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + *((unsigned char *)t10) = (unsigned char)2; + xsi_driver_first_trans_fast(t6); + xsi_set_current_line(36, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t4 = (t3 == (unsigned char)2); + if (t4 != 0) + goto LAB11; + +LAB13: xsi_set_current_line(39, ng0); + t1 = (t0 + 4560); + t2 = (t1 + 56U); + t5 = *((char **)t2); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = (unsigned char)0; + xsi_driver_first_trans_fast(t1); + +LAB12: goto LAB7; + +LAB9: xsi_set_current_line(43, ng0); + t1 = (t0 + 4624); + t2 = (t1 + 56U); + t5 = *((char **)t2); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = (unsigned char)2; + xsi_driver_first_trans_fast(t1); + xsi_set_current_line(44, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t4 = (t3 == (unsigned char)2); + if (t4 != 0) + goto LAB14; + +LAB16: xsi_set_current_line(48, ng0); + t1 = (t0 + 4560); + t2 = (t1 + 56U); + t5 = *((char **)t2); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = (unsigned char)0; + xsi_driver_first_trans_fast(t1); + +LAB15: goto LAB7; + +LAB10: xsi_set_current_line(53, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t4 = (t3 == (unsigned char)2); + if (t4 != 0) + goto LAB17; + +LAB19: xsi_set_current_line(58, ng0); + t1 = (t0 + 4560); + t2 = (t1 + 56U); + t5 = *((char **)t2); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = (unsigned char)2; + xsi_driver_first_trans_fast(t1); + xsi_set_current_line(59, ng0); + t1 = (t0 + 4624); + t2 = (t1 + 56U); + t5 = *((char **)t2); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = (unsigned char)3; + xsi_driver_first_trans_fast(t1); + +LAB18: goto LAB7; + +LAB11: xsi_set_current_line(37, ng0); + t1 = (t0 + 4560); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + *((unsigned char *)t8) = (unsigned char)1; + xsi_driver_first_trans_fast(t1); + goto LAB12; + +LAB14: xsi_set_current_line(45, ng0); + t1 = (t0 + 4560); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + *((unsigned char *)t8) = (unsigned char)2; + xsi_driver_first_trans_fast(t1); + xsi_set_current_line(46, ng0); + t1 = (t0 + 4624); + t2 = (t1 + 56U); + t5 = *((char **)t2); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = (unsigned char)2; + xsi_driver_first_trans_fast(t1); + goto LAB15; + +LAB17: xsi_set_current_line(54, ng0); + t1 = (t0 + 4560); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + *((unsigned char *)t8) = (unsigned char)2; + xsi_driver_first_trans_fast(t1); + xsi_set_current_line(55, ng0); + t1 = (t0 + 4624); + t2 = (t1 + 56U); + t5 = *((char **)t2); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = (unsigned char)2; + xsi_driver_first_trans_fast(t1); + goto LAB18; + +} + +static void work_a_2895088356_3212880686_p_1(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + unsigned char t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + +LAB0: xsi_set_current_line(68, ng0); + t1 = (t0 + 2472U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t4 = (t3 == (unsigned char)2); + if (t4 != 0) + goto LAB2; + +LAB4: t1 = (t0 + 1152U); + t3 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U); + if (t3 != 0) + goto LAB5; + +LAB6: +LAB3: t1 = (t0 + 4464); + *((int *)t1) = 1; + +LAB1: return; +LAB2: xsi_set_current_line(69, ng0); + t1 = (t0 + 4688); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + *((unsigned char *)t8) = (unsigned char)0; + xsi_driver_first_trans_fast(t1); + goto LAB3; + +LAB5: xsi_set_current_line(71, ng0); + t2 = (t0 + 2152U); + t5 = *((char **)t2); + t4 = *((unsigned char *)t5); + t2 = (t0 + 4688); + t6 = (t2 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + *((unsigned char *)t9) = t4; + xsi_driver_first_trans_fast(t2); + goto LAB3; + +} + +static void work_a_2895088356_3212880686_p_2(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + static char *nl0[] = {&&LAB3, &&LAB4, &&LAB5, &&LAB6, &&LAB7, &&LAB8, &&LAB9, &&LAB10, &&LAB11, &&LAB12}; + +LAB0: xsi_set_current_line(78, ng0); + t1 = (t0 + 1992U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (char *)((nl0) + t3); + goto **((char **)t1); + +LAB2: t1 = (t0 + 4480); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(80, ng0); + t4 = (t0 + 4752); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + *((unsigned char *)t8) = (unsigned char)2; + xsi_driver_first_trans_fast_port(t4); + xsi_set_current_line(82, ng0); + t1 = (t0 + 4816); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)1; + xsi_driver_first_trans_fast(t1); + goto LAB2; + +LAB4: xsi_set_current_line(85, ng0); + t1 = (t0 + 4752); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast_port(t1); + xsi_set_current_line(86, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 4880); + t4 = (t1 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = t3; + xsi_driver_first_trans_delta(t1, 7U, 1, 0LL); + xsi_set_current_line(87, ng0); + t1 = (t0 + 4816); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t1); + goto LAB2; + +LAB5: xsi_set_current_line(90, ng0); + t1 = (t0 + 4752); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast_port(t1); + xsi_set_current_line(91, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 4880); + t4 = (t1 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = t3; + xsi_driver_first_trans_delta(t1, 6U, 1, 0LL); + xsi_set_current_line(92, ng0); + t1 = (t0 + 4816); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t1); + goto LAB2; + +LAB6: xsi_set_current_line(95, ng0); + t1 = (t0 + 4752); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast_port(t1); + xsi_set_current_line(96, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 4880); + t4 = (t1 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = t3; + xsi_driver_first_trans_delta(t1, 5U, 1, 0LL); + xsi_set_current_line(97, ng0); + t1 = (t0 + 4816); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)4; + xsi_driver_first_trans_fast(t1); + goto LAB2; + +LAB7: xsi_set_current_line(100, ng0); + t1 = (t0 + 4752); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast_port(t1); + xsi_set_current_line(101, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 4880); + t4 = (t1 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = t3; + xsi_driver_first_trans_delta(t1, 4U, 1, 0LL); + xsi_set_current_line(102, ng0); + t1 = (t0 + 4816); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)5; + xsi_driver_first_trans_fast(t1); + goto LAB2; + +LAB8: xsi_set_current_line(105, ng0); + t1 = (t0 + 4752); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast_port(t1); + xsi_set_current_line(106, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 4880); + t4 = (t1 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = t3; + xsi_driver_first_trans_delta(t1, 3U, 1, 0LL); + xsi_set_current_line(107, ng0); + t1 = (t0 + 4816); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)6; + xsi_driver_first_trans_fast(t1); + goto LAB2; + +LAB9: xsi_set_current_line(110, ng0); + t1 = (t0 + 4752); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast_port(t1); + xsi_set_current_line(111, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 4880); + t4 = (t1 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = t3; + xsi_driver_first_trans_delta(t1, 2U, 1, 0LL); + xsi_set_current_line(112, ng0); + t1 = (t0 + 4816); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)7; + xsi_driver_first_trans_fast(t1); + goto LAB2; + +LAB10: xsi_set_current_line(115, ng0); + t1 = (t0 + 4752); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast_port(t1); + xsi_set_current_line(116, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 4880); + t4 = (t1 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = t3; + xsi_driver_first_trans_delta(t1, 1U, 1, 0LL); + xsi_set_current_line(117, ng0); + t1 = (t0 + 4816); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)8; + xsi_driver_first_trans_fast(t1); + goto LAB2; + +LAB11: xsi_set_current_line(120, ng0); + t1 = (t0 + 4752); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast_port(t1); + xsi_set_current_line(121, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 4880); + t4 = (t1 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = t3; + xsi_driver_first_trans_delta(t1, 0U, 1, 0LL); + xsi_set_current_line(122, ng0); + t1 = (t0 + 4816); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)9; + xsi_driver_first_trans_fast(t1); + goto LAB2; + +LAB12: xsi_set_current_line(125, ng0); + t1 = (t0 + 4752); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast_port(t1); + xsi_set_current_line(126, ng0); + t1 = (t0 + 4816); + t2 = (t1 + 56U); + t4 = *((char **)t2); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)0; + xsi_driver_first_trans_fast(t1); + goto LAB2; + +} + + +extern void work_a_2895088356_3212880686_init() +{ + static char *pe[] = {(void *)work_a_2895088356_3212880686_p_0,(void *)work_a_2895088356_3212880686_p_1,(void *)work_a_2895088356_3212880686_p_2}; + xsi_register_didat("work_a_2895088356_3212880686", "isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.didat"); + xsi_register_executes(pe); +} Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.nt64.obj =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.nt64.obj =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.nt64.obj (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.nt64.obj (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.nt64.obj Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.c =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.c (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.c (revision 2) @@ -0,0 +1,481 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xc3576ebc */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "E:/uart_block/hdl/iseProject/testSerial_receiver.vhd"; + + + +static void work_a_3238423600_2372691052_p_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + int64 t8; + +LAB0: t1 = (t0 + 3232U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(56, ng0); + t2 = (t0 + 4112); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(57, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 3040); + xsi_process_wait(t2, t8); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(58, ng0); + t2 = (t0 + 4112); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(59, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 3040); + xsi_process_wait(t2, t8); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: goto LAB2; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +} + +static void work_a_3238423600_2372691052_p_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + int64 t8; + +LAB0: t1 = (t0 + 3480U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(64, ng0); + t2 = (t0 + 4176); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(65, ng0); + t2 = (t0 + 2248U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 3288); + xsi_process_wait(t2, t8); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(66, ng0); + t2 = (t0 + 4176); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(67, ng0); + t2 = (t0 + 2248U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 3288); + xsi_process_wait(t2, t8); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: goto LAB2; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +} + +static void work_a_3238423600_2372691052_p_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + int64 t8; + +LAB0: t1 = (t0 + 3728U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(74, ng0); + t2 = (t0 + 4240); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(75, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(76, ng0); + t7 = (3 * 1000000LL); + t2 = (t0 + 3536); + xsi_process_wait(t2, t7); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(77, ng0); + t2 = (t0 + 4240); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(78, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 * 3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t8); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: xsi_set_current_line(82, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(83, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t7); + +LAB14: *((char **)t1) = &&LAB15; + goto LAB1; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +LAB12: xsi_set_current_line(85, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(86, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t7); + +LAB18: *((char **)t1) = &&LAB19; + goto LAB1; + +LAB13: goto LAB12; + +LAB15: goto LAB13; + +LAB16: xsi_set_current_line(87, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(88, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t7); + +LAB22: *((char **)t1) = &&LAB23; + goto LAB1; + +LAB17: goto LAB16; + +LAB19: goto LAB17; + +LAB20: xsi_set_current_line(89, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(90, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t7); + +LAB26: *((char **)t1) = &&LAB27; + goto LAB1; + +LAB21: goto LAB20; + +LAB23: goto LAB21; + +LAB24: xsi_set_current_line(91, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(92, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t7); + +LAB30: *((char **)t1) = &&LAB31; + goto LAB1; + +LAB25: goto LAB24; + +LAB27: goto LAB25; + +LAB28: xsi_set_current_line(93, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(94, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t7); + +LAB34: *((char **)t1) = &&LAB35; + goto LAB1; + +LAB29: goto LAB28; + +LAB31: goto LAB29; + +LAB32: xsi_set_current_line(95, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(96, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t7); + +LAB38: *((char **)t1) = &&LAB39; + goto LAB1; + +LAB33: goto LAB32; + +LAB35: goto LAB33; + +LAB36: xsi_set_current_line(97, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(98, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t7); + +LAB42: *((char **)t1) = &&LAB43; + goto LAB1; + +LAB37: goto LAB36; + +LAB39: goto LAB37; + +LAB40: xsi_set_current_line(99, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(100, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t7); + +LAB46: *((char **)t1) = &&LAB47; + goto LAB1; + +LAB41: goto LAB40; + +LAB43: goto LAB41; + +LAB44: xsi_set_current_line(103, ng0); + t2 = (t0 + 4304); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(104, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 * 3); + t2 = (t0 + 3536); + xsi_process_wait(t2, t8); + +LAB50: *((char **)t1) = &&LAB51; + goto LAB1; + +LAB45: goto LAB44; + +LAB47: goto LAB45; + +LAB48: xsi_set_current_line(107, ng0); + if ((unsigned char)0 == 0) + goto LAB52; + +LAB53: xsi_set_current_line(109, ng0); + +LAB56: *((char **)t1) = &&LAB57; + goto LAB1; + +LAB49: goto LAB48; + +LAB51: goto LAB49; + +LAB52: t2 = (t0 + 6780); + xsi_report(t2, 24U, (unsigned char)3); + goto LAB53; + +LAB54: goto LAB2; + +LAB55: goto LAB54; + +LAB57: goto LAB55; + +} + + +extern void work_a_3238423600_2372691052_init() +{ + static char *pe[] = {(void *)work_a_3238423600_2372691052_p_0,(void *)work_a_3238423600_2372691052_p_1,(void *)work_a_3238423600_2372691052_p_2}; + xsi_register_didat("work_a_3238423600_2372691052", "isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.didat"); + xsi_register_executes(pe); +} Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.didat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.didat =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.didat (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.didat (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_2895088356_3212880686.didat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.nt64.obj =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.nt64.obj =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.nt64.obj (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.nt64.obj (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.nt64.obj Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.didat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.didat =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.didat (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.didat (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/a_3238423600_2372691052.didat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/testSerial_receiver_isim_beh.exe_main.c =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/testSerial_receiver_isim_beh.exe_main.c (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/testSerial_receiver_isim_beh.exe_main.c (revision 2) @@ -0,0 +1,43 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +#include "xsi.h" + +struct XSI_INFO xsi_info; + +char *IEEE_P_2592010699; +char *STD_STANDARD; +char *WORK_P_1827476113; + + +int main(int argc, char **argv) +{ + xsi_init_design(argc, argv); + xsi_register_info(&xsi_info); + + xsi_register_min_prec_unit(-12); + ieee_p_2592010699_init(); + work_p_1827476113_init(); + work_a_2895088356_3212880686_init(); + work_a_3238423600_2372691052_init(); + + + xsi_register_tops("work_a_3238423600_2372691052"); + + IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); + xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); + STD_STANDARD = xsi_get_engine_memory("std_standard"); + WORK_P_1827476113 = xsi_get_engine_memory("work_p_1827476113"); + + return xsi_run_simulation(argc, argv); + +} Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.c =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.c (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.c (revision 2) @@ -0,0 +1,31 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xc3576ebc */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif + + + + +extern void work_p_1827476113_init() +{ + xsi_register_didat("work_p_1827476113", "isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.didat"); +} Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/testSerial_receiver_isim_beh.exe_main.nt64.obj =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/testSerial_receiver_isim_beh.exe_main.nt64.obj =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/testSerial_receiver_isim_beh.exe_main.nt64.obj (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/testSerial_receiver_isim_beh.exe_main.nt64.obj (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/testSerial_receiver_isim_beh.exe_main.nt64.obj Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.nt64.obj =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.nt64.obj =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.nt64.obj (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.nt64.obj (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.nt64.obj Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.didat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.didat =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.didat (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.didat (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/work/p_1827476113.didat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/isimcrash.log =================================================================== Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/isimkernel.log =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/isimkernel.log (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/isimkernel.log (revision 2) @@ -0,0 +1,28 @@ +Command line: + testSerial_receiver_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 59381 + +Sat Apr 21 12:28:50 2012 + + + Elaboration Time: 0 sec + + Current Memory Usage: 505.352 Meg + + Total Signals : 16 + Total Nets : 17 + Total Signal Drivers : 10 + Total Blocks : 4 + Total Primitive Blocks : 3 + Total Processes : 6 + Total Traceable Variables : 11 + Total Scalar Nets and Variables : 380 +Total Line Count : 85 + +** Failure:NONE. End of simulation. +User(VHDL) Code Called Simulation Stop +In process testSerial_receiver.vhd:stim_proc + + Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/testSerial_receiver_isim_beh.exe =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/testSerial_receiver_isim_beh.exe =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/testSerial_receiver_isim_beh.exe (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/testSerial_receiver_isim_beh.exe (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/testSerial_receiver_isim_beh.exe Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/libPortability.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/libPortability.dll =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/libPortability.dll (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/libPortability.dll (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/libPortability.dll Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/netId.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/netId.dat =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/netId.dat (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/netId.dat (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/netId.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/tmp_save/_1 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/tmp_save/_1 =================================================================== --- uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/tmp_save/_1 (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/tmp_save/_1 (revision 2)
uart_block/trunk/hdl/iseProject/isim/testSerial_receiver_isim_beh.exe.sim/tmp_save/_1 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.c =================================================================== --- uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.c (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.c (revision 2) @@ -0,0 +1,8819 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xc3576ebc */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "Function to_bit ended without a return statement"; +extern char *STD_STANDARD; +static const char *ng2 = "Function to_stdulogic ended without a return statement"; +static const char *ng3 = "Function to_x01 ended without a return statement"; +static const char *ng4 = "Function to_x01z ended without a return statement"; +static const char *ng5 = "Function to_ux01 ended without a return statement"; + + + +unsigned char ieee_p_2592010699_sub_3130575329_503743352(char *t1, char *t2, char *t3) +{ + char t4[128]; + char t5[24]; + char t9[8]; + unsigned char t0; + char *t6; + char *t7; + char *t8; + char *t10; + char *t11; + char *t12; + unsigned char t13; + char *t14; + char *t15; + unsigned int t16; + unsigned char t17; + int t18; + char *t19; + int t20; + char *t21; + int t22; + char *t23; + int t24; + char *t25; + int t26; + char *t27; + int t28; + int t29; + unsigned int t30; + unsigned int t31; + unsigned int t32; + char *t33; + unsigned char t34; + int t35; + int t36; + int t37; + int t38; + unsigned int t39; + int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + +LAB0: t6 = (t4 + 4U); + t7 = (t1 + 3224); + t8 = (t6 + 88U); + *((char **)t8) = t7; + t10 = (t6 + 56U); + *((char **)t10) = t9; + *((unsigned char *)t9) = (unsigned char)4; + t11 = (t6 + 80U); + *((unsigned int *)t11) = 1U; + t12 = (t5 + 4U); + t13 = (t2 != 0); + if (t13 == 1) + goto LAB3; + +LAB2: t14 = (t5 + 12U); + *((char **)t14) = t3; + t15 = (t3 + 12U); + t16 = *((unsigned int *)t15); + t17 = (t16 == 1); + if (t17 != 0) + goto LAB4; + +LAB6: t7 = (t3 + 8U); + t18 = *((int *)t7); + t8 = (t3 + 4U); + t20 = *((int *)t8); + t10 = (t3 + 0U); + t22 = *((int *)t10); + t24 = t22; + t26 = t20; + +LAB15: t28 = (t26 * t18); + t29 = (t24 * t18); + if (t29 <= t28) + goto LAB16; + +LAB18: +LAB5: t7 = (t6 + 56U); + t8 = *((char **)t7); + t13 = *((unsigned char *)t8); + t0 = t13; + +LAB1: return t0; +LAB3: *((char **)t12) = t2; + goto LAB2; + +LAB4: t19 = (t3 + 0U); + t20 = *((int *)t19); + t21 = (t3 + 4U); + t22 = *((int *)t21); + t23 = (t3 + 8U); + t24 = *((int *)t23); + if (t20 > t22) + goto LAB7; + +LAB8: if (t24 == -1) + goto LAB12; + +LAB13: t18 = t20; + +LAB9: t25 = (t3 + 0U); + t26 = *((int *)t25); + t27 = (t3 + 8U); + t28 = *((int *)t27); + t29 = (t18 - t26); + t30 = (t29 * t28); + t31 = (1U * t30); + t32 = (0 + t31); + t33 = (t2 + t32); + t34 = *((unsigned char *)t33); + t0 = t34; + goto LAB1; + +LAB7: if (t24 == 1) + goto LAB10; + +LAB11: t18 = t22; + goto LAB9; + +LAB10: t18 = t20; + goto LAB9; + +LAB12: t18 = t22; + goto LAB9; + +LAB14: goto LAB5; + +LAB16: t11 = (t1 + 1168U); + t15 = *((char **)t11); + t11 = (t6 + 56U); + t19 = *((char **)t11); + t13 = *((unsigned char *)t19); + t35 = (t13 - 0); + t16 = (t35 * 1); + t30 = (t16 * 9U); + t11 = (t3 + 0U); + t36 = *((int *)t11); + t21 = (t3 + 8U); + t37 = *((int *)t21); + t38 = (t24 - t36); + t31 = (t38 * t37); + t32 = (1U * t31); + t39 = (0 + t32); + t23 = (t2 + t39); + t17 = *((unsigned char *)t23); + t40 = (t17 - 0); + t41 = (t40 * 1); + t42 = (t30 + t41); + t43 = (1U * t42); + t44 = (0 + t43); + t25 = (t15 + t44); + t34 = *((unsigned char *)t25); + t27 = (t6 + 56U); + t33 = *((char **)t27); + t27 = (t33 + 0); + *((unsigned char *)t27) = t34; + +LAB17: if (t24 == t26) + goto LAB18; + +LAB19: t20 = (t24 + t18); + t24 = t20; + goto LAB15; + +LAB20:; +} + +unsigned char ieee_p_2592010699_sub_1605435078_503743352(char *t1, unsigned char t2, unsigned char t3) +{ + char t5[8]; + unsigned char t0; + char *t6; + char *t7; + char *t8; + char *t9; + int t10; + unsigned int t11; + unsigned int t12; + int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned char t18; + +LAB0: t6 = (t5 + 4U); + *((unsigned char *)t6) = t2; + t7 = (t5 + 5U); + *((unsigned char *)t7) = t3; + t8 = (t1 + 1288U); + t9 = *((char **)t8); + t10 = (t2 - 0); + t11 = (t10 * 1); + t12 = (t11 * 9U); + t13 = (t3 - 0); + t14 = (t13 * 1); + t15 = (t12 + t14); + t16 = (1U * t15); + t17 = (0 + t16); + t8 = (t9 + t17); + t18 = *((unsigned char *)t8); + t0 = t18; + +LAB1: return t0; +LAB2:; +} + +unsigned char ieee_p_2592010699_sub_313326228_503743352(char *t1, unsigned char t2, unsigned char t3) +{ + char t5[8]; + unsigned char t0; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + int t11; + unsigned int t12; + unsigned int t13; + int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned char t19; + int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + char *t24; + unsigned char t25; + +LAB0: t6 = (t5 + 4U); + *((unsigned char *)t6) = t2; + t7 = (t5 + 5U); + *((unsigned char *)t7) = t3; + t8 = (t1 + 1648U); + t9 = *((char **)t8); + t8 = (t1 + 1288U); + t10 = *((char **)t8); + t11 = (t2 - 0); + t12 = (t11 * 1); + t13 = (t12 * 9U); + t14 = (t3 - 0); + t15 = (t14 * 1); + t16 = (t13 + t15); + t17 = (1U * t16); + t18 = (0 + t17); + t8 = (t10 + t18); + t19 = *((unsigned char *)t8); + t20 = (t19 - 0); + t21 = (t20 * 1); + t22 = (1U * t21); + t23 = (0 + t22); + t24 = (t9 + t23); + t25 = *((unsigned char *)t24); + t0 = t25; + +LAB1: return t0; +LAB2:; +} + +unsigned char ieee_p_2592010699_sub_2545490612_503743352(char *t1, unsigned char t2, unsigned char t3) +{ + char t5[8]; + unsigned char t0; + char *t6; + char *t7; + char *t8; + char *t9; + int t10; + unsigned int t11; + unsigned int t12; + int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned char t18; + +LAB0: t6 = (t5 + 4U); + *((unsigned char *)t6) = t2; + t7 = (t5 + 5U); + *((unsigned char *)t7) = t3; + t8 = (t1 + 1408U); + t9 = *((char **)t8); + t10 = (t2 - 0); + t11 = (t10 * 1); + t12 = (t11 * 9U); + t13 = (t3 - 0); + t14 = (t13 * 1); + t15 = (t12 + t14); + t16 = (1U * t15); + t17 = (0 + t16); + t8 = (t9 + t17); + t18 = *((unsigned char *)t8); + t0 = t18; + +LAB1: return t0; +LAB2:; +} + +unsigned char ieee_p_2592010699_sub_2115884226_503743352(char *t1, unsigned char t2, unsigned char t3) +{ + char t5[8]; + unsigned char t0; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + int t11; + unsigned int t12; + unsigned int t13; + int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned char t19; + int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + char *t24; + unsigned char t25; + +LAB0: t6 = (t5 + 4U); + *((unsigned char *)t6) = t2; + t7 = (t5 + 5U); + *((unsigned char *)t7) = t3; + t8 = (t1 + 1648U); + t9 = *((char **)t8); + t8 = (t1 + 1408U); + t10 = *((char **)t8); + t11 = (t2 - 0); + t12 = (t11 * 1); + t13 = (t12 * 9U); + t14 = (t3 - 0); + t15 = (t14 * 1); + t16 = (t13 + t15); + t17 = (1U * t16); + t18 = (0 + t17); + t8 = (t10 + t18); + t19 = *((unsigned char *)t8); + t20 = (t19 - 0); + t21 = (t20 * 1); + t22 = (1U * t21); + t23 = (0 + t22); + t24 = (t9 + t23); + t25 = *((unsigned char *)t24); + t0 = t25; + +LAB1: return t0; +LAB2:; +} + +unsigned char ieee_p_2592010699_sub_2507238156_503743352(char *t1, unsigned char t2, unsigned char t3) +{ + char t5[8]; + unsigned char t0; + char *t6; + char *t7; + char *t8; + char *t9; + int t10; + unsigned int t11; + unsigned int t12; + int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned char t18; + +LAB0: t6 = (t5 + 4U); + *((unsigned char *)t6) = t2; + t7 = (t5 + 5U); + *((unsigned char *)t7) = t3; + t8 = (t1 + 1528U); + t9 = *((char **)t8); + t10 = (t2 - 0); + t11 = (t10 * 1); + t12 = (t11 * 9U); + t13 = (t3 - 0); + t14 = (t13 * 1); + t15 = (t12 + t14); + t16 = (1U * t15); + t17 = (0 + t16); + t8 = (t9 + t17); + t18 = *((unsigned char *)t8); + t0 = t18; + +LAB1: return t0; +LAB2:; +} + +unsigned char ieee_p_2592010699_sub_853553178_503743352(char *t1, unsigned char t2, unsigned char t3) +{ + char t5[8]; + unsigned char t0; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + int t11; + unsigned int t12; + unsigned int t13; + int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned char t19; + int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + char *t24; + unsigned char t25; + +LAB0: t6 = (t5 + 4U); + *((unsigned char *)t6) = t2; + t7 = (t5 + 5U); + *((unsigned char *)t7) = t3; + t8 = (t1 + 1648U); + t9 = *((char **)t8); + t8 = (t1 + 1528U); + t10 = *((char **)t8); + t11 = (t2 - 0); + t12 = (t11 * 1); + t13 = (t12 * 9U); + t14 = (t3 - 0); + t15 = (t14 * 1); + t16 = (t13 + t15); + t17 = (1U * t16); + t18 = (0 + t17); + t8 = (t10 + t18); + t19 = *((unsigned char *)t8); + t20 = (t19 - 0); + t21 = (t20 * 1); + t22 = (1U * t21); + t23 = (0 + t22); + t24 = (t9 + t23); + t25 = *((unsigned char *)t24); + t0 = t25; + +LAB1: return t0; +LAB2:; +} + +unsigned char ieee_p_2592010699_sub_1690584930_503743352(char *t1, unsigned char t2) +{ + char t4[8]; + unsigned char t0; + char *t5; + char *t6; + char *t7; + int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned char t12; + +LAB0: t5 = (t4 + 4U); + *((unsigned char *)t5) = t2; + t6 = (t1 + 1648U); + t7 = *((char **)t6); + t8 = (t2 - 0); + t9 = (t8 * 1); + t10 = (1U * t9); + t11 = (0 + t10); + t6 = (t7 + t11); + t12 = *((unsigned char *)t6); + t0 = t12; + +LAB1: return t0; +LAB2:; +} + +char *ieee_p_2592010699_sub_795620321_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + char *t66; + int t67; + char *t68; + int t69; + int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + char *t74; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 4024); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 7724); + xsi_report(t46, 65U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1288U); + t18 = *((char **)t17); + t17 = (t3 + 0); + t24 = (t9 + 0U); + t51 = *((int *)t24); + t26 = (t9 + 8U); + t52 = *((int *)t26); + t53 = (t28 - t51); + t11 = (t53 * t52); + t30 = (t9 + 4U); + t54 = *((int *)t30); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t31 = (t17 + t20); + t37 = *((unsigned char *)t31); + t55 = (t37 - 0); + t22 = (t55 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t37); + t25 = (t22 * 9U); + t33 = (t5 + 0); + t34 = (t16 + 0U); + t56 = *((int *)t34); + t35 = (t16 + 8U); + t57 = *((int *)t35); + t58 = (t28 - t56); + t29 = (t58 * t57); + t42 = (t16 + 4U); + t59 = *((int *)t42); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t43 = (t33 + t60); + t40 = *((unsigned char *)t43); + t61 = (t40 - 0); + t62 = (t61 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t40); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t46 = (t18 + t65); + t45 = *((unsigned char *)t46); + t47 = (t27 + 56U); + t66 = *((char **)t47); + t47 = (t23 + 0U); + t67 = *((int *)t47); + t68 = (t23 + 8U); + t69 = *((int *)t68); + t70 = (t28 - t67); + t71 = (t70 * t69); + t72 = (1U * t71); + t73 = (0 + t72); + t74 = (t66 + t73); + *((unsigned char *)t74) = t45; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_3719728556_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + char *t66; + int t67; + char *t68; + int t69; + int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + char *t74; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 3912); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 7789); + xsi_report(t46, 65U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1288U); + t18 = *((char **)t17); + t17 = (t3 + 0); + t24 = (t9 + 0U); + t51 = *((int *)t24); + t26 = (t9 + 8U); + t52 = *((int *)t26); + t53 = (t28 - t51); + t11 = (t53 * t52); + t30 = (t9 + 4U); + t54 = *((int *)t30); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t31 = (t17 + t20); + t37 = *((unsigned char *)t31); + t55 = (t37 - 0); + t22 = (t55 * 1); + t25 = (t22 * 9U); + t33 = (t5 + 0); + t34 = (t16 + 0U); + t56 = *((int *)t34); + t35 = (t16 + 8U); + t57 = *((int *)t35); + t58 = (t28 - t56); + t29 = (t58 * t57); + t42 = (t16 + 4U); + t59 = *((int *)t42); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t43 = (t33 + t60); + t40 = *((unsigned char *)t43); + t61 = (t40 - 0); + t62 = (t61 * 1); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t46 = (t18 + t65); + t45 = *((unsigned char *)t46); + t47 = (t27 + 56U); + t66 = *((char **)t47); + t47 = (t23 + 0U); + t67 = *((int *)t47); + t68 = (t23 + 8U); + t69 = *((int *)t68); + t70 = (t28 - t67); + t71 = (t70 * t69); + t72 = (1U * t71); + t73 = (0 + t72); + t74 = (t66 + t73); + *((unsigned char *)t74) = t45; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_3798478767_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned char t71; + char *t72; + char *t73; + int t74; + char *t75; + int t76; + int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 4024); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 7854); + xsi_report(t46, 66U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1648U); + t18 = *((char **)t17); + t17 = (t1 + 1288U); + t24 = *((char **)t17); + t17 = (t3 + 0); + t26 = (t9 + 0U); + t51 = *((int *)t26); + t30 = (t9 + 8U); + t52 = *((int *)t30); + t53 = (t28 - t51); + t11 = (t53 * t52); + t31 = (t9 + 4U); + t54 = *((int *)t31); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t33 = (t17 + t20); + t37 = *((unsigned char *)t33); + t55 = (t37 - 0); + t22 = (t55 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t37); + t25 = (t22 * 9U); + t34 = (t5 + 0); + t35 = (t16 + 0U); + t56 = *((int *)t35); + t42 = (t16 + 8U); + t57 = *((int *)t42); + t58 = (t28 - t56); + t29 = (t58 * t57); + t43 = (t16 + 4U); + t59 = *((int *)t43); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t46 = (t34 + t60); + t40 = *((unsigned char *)t46); + t61 = (t40 - 0); + t62 = (t61 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t40); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t47 = (t24 + t65); + t45 = *((unsigned char *)t47); + t66 = (t45 - 0); + t67 = (t66 * 1); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t18 + t69); + t71 = *((unsigned char *)t70); + t72 = (t27 + 56U); + t73 = *((char **)t72); + t72 = (t23 + 0U); + t74 = *((int *)t72); + t75 = (t23 + 8U); + t76 = *((int *)t75); + t77 = (t28 - t74); + t78 = (t77 * t76); + t79 = (1U * t78); + t80 = (0 + t79); + t81 = (t73 + t80); + *((unsigned char *)t81) = t71; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_2427619706_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned char t71; + char *t72; + char *t73; + int t74; + char *t75; + int t76; + int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 3912); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 7920); + xsi_report(t46, 66U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1648U); + t18 = *((char **)t17); + t17 = (t1 + 1288U); + t24 = *((char **)t17); + t17 = (t3 + 0); + t26 = (t9 + 0U); + t51 = *((int *)t26); + t30 = (t9 + 8U); + t52 = *((int *)t30); + t53 = (t28 - t51); + t11 = (t53 * t52); + t31 = (t9 + 4U); + t54 = *((int *)t31); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t33 = (t17 + t20); + t37 = *((unsigned char *)t33); + t55 = (t37 - 0); + t22 = (t55 * 1); + t25 = (t22 * 9U); + t34 = (t5 + 0); + t35 = (t16 + 0U); + t56 = *((int *)t35); + t42 = (t16 + 8U); + t57 = *((int *)t42); + t58 = (t28 - t56); + t29 = (t58 * t57); + t43 = (t16 + 4U); + t59 = *((int *)t43); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t46 = (t34 + t60); + t40 = *((unsigned char *)t46); + t61 = (t40 - 0); + t62 = (t61 * 1); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t47 = (t24 + t65); + t45 = *((unsigned char *)t47); + t66 = (t45 - 0); + t67 = (t66 * 1); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t18 + t69); + t71 = *((unsigned char *)t70); + t72 = (t27 + 56U); + t73 = *((char **)t72); + t72 = (t23 + 0U); + t74 = *((int *)t72); + t75 = (t23 + 8U); + t76 = *((int *)t75); + t77 = (t28 - t74); + t78 = (t77 * t76); + t79 = (1U * t78); + t80 = (0 + t79); + t81 = (t73 + t80); + *((unsigned char *)t81) = t71; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_1735675855_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + char *t66; + int t67; + char *t68; + int t69; + int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + char *t74; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 4024); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 7986); + xsi_report(t46, 64U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1408U); + t18 = *((char **)t17); + t17 = (t3 + 0); + t24 = (t9 + 0U); + t51 = *((int *)t24); + t26 = (t9 + 8U); + t52 = *((int *)t26); + t53 = (t28 - t51); + t11 = (t53 * t52); + t30 = (t9 + 4U); + t54 = *((int *)t30); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t31 = (t17 + t20); + t37 = *((unsigned char *)t31); + t55 = (t37 - 0); + t22 = (t55 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t37); + t25 = (t22 * 9U); + t33 = (t5 + 0); + t34 = (t16 + 0U); + t56 = *((int *)t34); + t35 = (t16 + 8U); + t57 = *((int *)t35); + t58 = (t28 - t56); + t29 = (t58 * t57); + t42 = (t16 + 4U); + t59 = *((int *)t42); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t43 = (t33 + t60); + t40 = *((unsigned char *)t43); + t61 = (t40 - 0); + t62 = (t61 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t40); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t46 = (t18 + t65); + t45 = *((unsigned char *)t46); + t47 = (t27 + 56U); + t66 = *((char **)t47); + t47 = (t23 + 0U); + t67 = *((int *)t47); + t68 = (t23 + 8U); + t69 = *((int *)t68); + t70 = (t28 - t67); + t71 = (t70 * t69); + t72 = (1U * t71); + t73 = (0 + t72); + t74 = (t66 + t73); + *((unsigned char *)t74) = t45; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_364816794_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + char *t66; + int t67; + char *t68; + int t69; + int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + char *t74; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 3912); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 8050); + xsi_report(t46, 64U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1408U); + t18 = *((char **)t17); + t17 = (t3 + 0); + t24 = (t9 + 0U); + t51 = *((int *)t24); + t26 = (t9 + 8U); + t52 = *((int *)t26); + t53 = (t28 - t51); + t11 = (t53 * t52); + t30 = (t9 + 4U); + t54 = *((int *)t30); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t31 = (t17 + t20); + t37 = *((unsigned char *)t31); + t55 = (t37 - 0); + t22 = (t55 * 1); + t25 = (t22 * 9U); + t33 = (t5 + 0); + t34 = (t16 + 0U); + t56 = *((int *)t34); + t35 = (t16 + 8U); + t57 = *((int *)t35); + t58 = (t28 - t56); + t29 = (t58 * t57); + t42 = (t16 + 4U); + t59 = *((int *)t42); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t43 = (t33 + t60); + t40 = *((unsigned char *)t43); + t61 = (t40 - 0); + t62 = (t61 * 1); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t46 = (t18 + t65); + t45 = *((unsigned char *)t46); + t47 = (t27 + 56U); + t66 = *((char **)t47); + t47 = (t23 + 0U); + t67 = *((int *)t47); + t68 = (t23 + 8U); + t69 = *((int *)t68); + t70 = (t28 - t67); + t71 = (t70 * t69); + t72 = (1U * t71); + t73 = (0 + t72); + t74 = (t66 + t73); + *((unsigned char *)t74) = t45; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_1306069469_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned char t71; + char *t72; + char *t73; + int t74; + char *t75; + int t76; + int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 4024); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 8114); + xsi_report(t46, 65U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1648U); + t18 = *((char **)t17); + t17 = (t1 + 1408U); + t24 = *((char **)t17); + t17 = (t3 + 0); + t26 = (t9 + 0U); + t51 = *((int *)t26); + t30 = (t9 + 8U); + t52 = *((int *)t30); + t53 = (t28 - t51); + t11 = (t53 * t52); + t31 = (t9 + 4U); + t54 = *((int *)t31); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t33 = (t17 + t20); + t37 = *((unsigned char *)t33); + t55 = (t37 - 0); + t22 = (t55 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t37); + t25 = (t22 * 9U); + t34 = (t5 + 0); + t35 = (t16 + 0U); + t56 = *((int *)t35); + t42 = (t16 + 8U); + t57 = *((int *)t42); + t58 = (t28 - t56); + t29 = (t58 * t57); + t43 = (t16 + 4U); + t59 = *((int *)t43); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t46 = (t34 + t60); + t40 = *((unsigned char *)t46); + t61 = (t40 - 0); + t62 = (t61 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t40); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t47 = (t24 + t65); + t45 = *((unsigned char *)t47); + t66 = (t45 - 0); + t67 = (t66 * 1); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t18 + t69); + t71 = *((unsigned char *)t70); + t72 = (t27 + 56U); + t73 = *((char **)t72); + t72 = (t23 + 0U); + t74 = *((int *)t72); + t75 = (t23 + 8U); + t76 = *((int *)t75); + t77 = (t28 - t74); + t78 = (t77 * t76); + t79 = (1U * t78); + t80 = (0 + t79); + t81 = (t73 + t80); + *((unsigned char *)t81) = t71; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_4230177704_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned char t71; + char *t72; + char *t73; + int t74; + char *t75; + int t76; + int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 3912); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 8179); + xsi_report(t46, 65U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1648U); + t18 = *((char **)t17); + t17 = (t1 + 1408U); + t24 = *((char **)t17); + t17 = (t3 + 0); + t26 = (t9 + 0U); + t51 = *((int *)t26); + t30 = (t9 + 8U); + t52 = *((int *)t30); + t53 = (t28 - t51); + t11 = (t53 * t52); + t31 = (t9 + 4U); + t54 = *((int *)t31); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t33 = (t17 + t20); + t37 = *((unsigned char *)t33); + t55 = (t37 - 0); + t22 = (t55 * 1); + t25 = (t22 * 9U); + t34 = (t5 + 0); + t35 = (t16 + 0U); + t56 = *((int *)t35); + t42 = (t16 + 8U); + t57 = *((int *)t42); + t58 = (t28 - t56); + t29 = (t58 * t57); + t43 = (t16 + 4U); + t59 = *((int *)t43); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t46 = (t34 + t60); + t40 = *((unsigned char *)t46); + t61 = (t40 - 0); + t62 = (t61 * 1); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t47 = (t24 + t65); + t45 = *((unsigned char *)t47); + t66 = (t45 - 0); + t67 = (t66 * 1); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t18 + t69); + t71 = *((unsigned char *)t70); + t72 = (t27 + 56U); + t73 = *((char **)t72); + t72 = (t23 + 0U); + t74 = *((int *)t72); + t75 = (t23 + 8U); + t76 = *((int *)t75); + t77 = (t28 - t74); + t78 = (t77 * t76); + t79 = (1U * t78); + t80 = (0 + t79); + t81 = (t73 + t80); + *((unsigned char *)t81) = t71; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_1697423399_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + char *t66; + int t67; + char *t68; + int t69; + int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + char *t74; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 4024); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 8244); + xsi_report(t46, 65U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1528U); + t18 = *((char **)t17); + t17 = (t3 + 0); + t24 = (t9 + 0U); + t51 = *((int *)t24); + t26 = (t9 + 8U); + t52 = *((int *)t26); + t53 = (t28 - t51); + t11 = (t53 * t52); + t30 = (t9 + 4U); + t54 = *((int *)t30); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t31 = (t17 + t20); + t37 = *((unsigned char *)t31); + t55 = (t37 - 0); + t22 = (t55 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t37); + t25 = (t22 * 9U); + t33 = (t5 + 0); + t34 = (t16 + 0U); + t56 = *((int *)t34); + t35 = (t16 + 8U); + t57 = *((int *)t35); + t58 = (t28 - t56); + t29 = (t58 * t57); + t42 = (t16 + 4U); + t59 = *((int *)t42); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t43 = (t33 + t60); + t40 = *((unsigned char *)t43); + t61 = (t40 - 0); + t62 = (t61 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t40); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t46 = (t18 + t65); + t45 = *((unsigned char *)t46); + t47 = (t27 + 56U); + t66 = *((char **)t47); + t47 = (t23 + 0U); + t67 = *((int *)t47); + t68 = (t23 + 8U); + t69 = *((int *)t68); + t70 = (t28 - t67); + t71 = (t70 * t69); + t72 = (1U * t71); + t73 = (0 + t72); + t74 = (t66 + t73); + *((unsigned char *)t74) = t45; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_326564338_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + char *t66; + int t67; + char *t68; + int t69; + int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + char *t74; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 3912); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 8309); + xsi_report(t46, 65U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1528U); + t18 = *((char **)t17); + t17 = (t3 + 0); + t24 = (t9 + 0U); + t51 = *((int *)t24); + t26 = (t9 + 8U); + t52 = *((int *)t26); + t53 = (t28 - t51); + t11 = (t53 * t52); + t30 = (t9 + 4U); + t54 = *((int *)t30); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t31 = (t17 + t20); + t37 = *((unsigned char *)t31); + t55 = (t37 - 0); + t22 = (t55 * 1); + t25 = (t22 * 9U); + t33 = (t5 + 0); + t34 = (t16 + 0U); + t56 = *((int *)t34); + t35 = (t16 + 8U); + t57 = *((int *)t35); + t58 = (t28 - t56); + t29 = (t58 * t57); + t42 = (t16 + 4U); + t59 = *((int *)t42); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t43 = (t33 + t60); + t40 = *((unsigned char *)t43); + t61 = (t40 - 0); + t62 = (t61 * 1); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t46 = (t18 + t65); + t45 = *((unsigned char *)t46); + t47 = (t27 + 56U); + t66 = *((char **)t47); + t47 = (t23 + 0U); + t67 = *((int *)t47); + t68 = (t23 + 8U); + t69 = *((int *)t68); + t70 = (t28 - t67); + t71 = (t70 * t69); + t72 = (1U * t71); + t73 = (0 + t72); + t74 = (t66 + t73); + *((unsigned char *)t74) = t45; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_43738421_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned char t71; + char *t72; + char *t73; + int t74; + char *t75; + int t76; + int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 4024); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 8374); + xsi_report(t46, 66U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1648U); + t18 = *((char **)t17); + t17 = (t1 + 1528U); + t24 = *((char **)t17); + t17 = (t3 + 0); + t26 = (t9 + 0U); + t51 = *((int *)t26); + t30 = (t9 + 8U); + t52 = *((int *)t30); + t53 = (t28 - t51); + t11 = (t53 * t52); + t31 = (t9 + 4U); + t54 = *((int *)t31); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t33 = (t17 + t20); + t37 = *((unsigned char *)t33); + t55 = (t37 - 0); + t22 = (t55 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t37); + t25 = (t22 * 9U); + t34 = (t5 + 0); + t35 = (t16 + 0U); + t56 = *((int *)t35); + t42 = (t16 + 8U); + t57 = *((int *)t42); + t58 = (t28 - t56); + t29 = (t58 * t57); + t43 = (t16 + 4U); + t59 = *((int *)t43); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t46 = (t34 + t60); + t40 = *((unsigned char *)t46); + t61 = (t40 - 0); + t62 = (t61 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t40); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t47 = (t24 + t65); + t45 = *((unsigned char *)t47); + t66 = (t45 - 0); + t67 = (t66 * 1); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t18 + t69); + t71 = *((unsigned char *)t70); + t72 = (t27 + 56U); + t73 = *((char **)t72); + t72 = (t23 + 0U); + t74 = *((int *)t72); + t75 = (t23 + 8U); + t76 = *((int *)t75); + t77 = (t28 - t74); + t78 = (t77 * t76); + t79 = (1U * t78); + t80 = (0 + t79); + t81 = (t73 + t80); + *((unsigned char *)t81) = t71; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_2967846656_503743352(char *t1, char *t2, char *t3, char *t4, char *t5, char *t6) +{ + char t7[128]; + char t8[40]; + char t9[16]; + char t16[16]; + char t23[16]; + char *t0; + char *t10; + unsigned int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + char *t17; + char *t18; + int t19; + unsigned int t20; + int t21; + unsigned int t22; + char *t24; + unsigned int t25; + char *t26; + char *t27; + int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + char *t36; + unsigned char t37; + char *t38; + char *t39; + unsigned char t40; + char *t41; + char *t42; + char *t43; + unsigned int t44; + unsigned char t45; + char *t46; + char *t47; + int t48; + int t49; + int t50; + int t51; + int t52; + int t53; + int t54; + int t55; + int t56; + int t57; + int t58; + int t59; + unsigned int t60; + int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned char t71; + char *t72; + char *t73; + int t74; + char *t75; + int t76; + int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + +LAB0: t10 = (t4 + 12U); + t11 = *((unsigned int *)t10); + t12 = (t9 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = 1; + t13 = (t12 + 4U); + *((unsigned int *)t13) = t11; + t13 = (t12 + 8U); + *((int *)t13) = 1; + t14 = (t11 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t6 + 12U); + t15 = *((unsigned int *)t13); + t17 = (t16 + 0U); + t18 = (t17 + 0U); + *((int *)t18) = 1; + t18 = (t17 + 4U); + *((unsigned int *)t18) = t15; + t18 = (t17 + 8U); + *((int *)t18) = 1; + t19 = (t15 - 1); + t20 = (t19 * 1); + t20 = (t20 + 1); + t18 = (t17 + 12U); + *((unsigned int *)t18) = t20; + t18 = (t4 + 12U); + t20 = *((unsigned int *)t18); + t21 = (t20 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t22 = (t22 * 1U); + t24 = (t4 + 12U); + t25 = *((unsigned int *)t24); + t26 = (t23 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = 1; + t27 = (t26 + 4U); + *((unsigned int *)t27) = t25; + t27 = (t26 + 8U); + *((int *)t27) = 1; + t28 = (t25 - 1); + t29 = (t28 * 1); + t29 = (t29 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t29; + t27 = (t7 + 4U); + t30 = (t1 + 3912); + t31 = (t27 + 88U); + *((char **)t31) = t30; + t32 = (char *)alloca(t22); + t33 = (t27 + 56U); + *((char **)t33) = t32; + xsi_type_set_default_value(t30, t32, t23); + t34 = (t27 + 64U); + *((char **)t34) = t23; + t35 = (t27 + 80U); + *((unsigned int *)t35) = t22; + t36 = (t8 + 4U); + t37 = (t3 != 0); + if (t37 == 1) + goto LAB3; + +LAB2: t38 = (t8 + 12U); + *((char **)t38) = t4; + t39 = (t8 + 20U); + t40 = (t5 != 0); + if (t40 == 1) + goto LAB5; + +LAB4: t41 = (t8 + 28U); + *((char **)t41) = t6; + t42 = (t4 + 12U); + t29 = *((unsigned int *)t42); + t43 = (t6 + 12U); + t44 = *((unsigned int *)t43); + t45 = (t29 != t44); + if (t45 != 0) + goto LAB6; + +LAB8: t10 = (t23 + 8U); + t14 = *((int *)t10); + t12 = (t23 + 4U); + t19 = *((int *)t12); + t13 = (t23 + 0U); + t21 = *((int *)t13); + t28 = t21; + t48 = t19; + +LAB11: t49 = (t48 * t14); + t50 = (t28 * t14); + if (t50 <= t49) + goto LAB12; + +LAB14: +LAB7: t10 = (t27 + 56U); + t12 = *((char **)t10); + t10 = (t23 + 12U); + t11 = *((unsigned int *)t10); + t11 = (t11 * 1U); + t0 = xsi_get_transient_memory(t11); + memcpy(t0, t12, t11); + t13 = (t23 + 0U); + t14 = *((int *)t13); + t17 = (t23 + 4U); + t19 = *((int *)t17); + t18 = (t23 + 8U); + t21 = *((int *)t18); + t24 = (t2 + 0U); + t26 = (t24 + 0U); + *((int *)t26) = t14; + t26 = (t24 + 4U); + *((int *)t26) = t19; + t26 = (t24 + 8U); + *((int *)t26) = t21; + t28 = (t19 - t14); + t15 = (t28 * t21); + t15 = (t15 + 1); + t26 = (t24 + 12U); + *((unsigned int *)t26) = t15; + +LAB1: return t0; +LAB3: *((char **)t36) = t3; + goto LAB2; + +LAB5: *((char **)t39) = t5; + goto LAB4; + +LAB6: if ((unsigned char)0 == 0) + goto LAB9; + +LAB10: goto LAB7; + +LAB9: t46 = (t1 + 8440); + xsi_report(t46, 66U, (unsigned char)3); + goto LAB10; + +LAB12: t17 = (t1 + 1648U); + t18 = *((char **)t17); + t17 = (t1 + 1528U); + t24 = *((char **)t17); + t17 = (t3 + 0); + t26 = (t9 + 0U); + t51 = *((int *)t26); + t30 = (t9 + 8U); + t52 = *((int *)t30); + t53 = (t28 - t51); + t11 = (t53 * t52); + t31 = (t9 + 4U); + t54 = *((int *)t31); + xsi_vhdl_check_range_of_index(t51, t54, t52, t28); + t15 = (1U * t11); + t20 = (0 + t15); + t33 = (t17 + t20); + t37 = *((unsigned char *)t33); + t55 = (t37 - 0); + t22 = (t55 * 1); + t25 = (t22 * 9U); + t34 = (t5 + 0); + t35 = (t16 + 0U); + t56 = *((int *)t35); + t42 = (t16 + 8U); + t57 = *((int *)t42); + t58 = (t28 - t56); + t29 = (t58 * t57); + t43 = (t16 + 4U); + t59 = *((int *)t43); + xsi_vhdl_check_range_of_index(t56, t59, t57, t28); + t44 = (1U * t29); + t60 = (0 + t44); + t46 = (t34 + t60); + t40 = *((unsigned char *)t46); + t61 = (t40 - 0); + t62 = (t61 * 1); + t63 = (t25 + t62); + t64 = (1U * t63); + t65 = (0 + t64); + t47 = (t24 + t65); + t45 = *((unsigned char *)t47); + t66 = (t45 - 0); + t67 = (t66 * 1); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t18 + t69); + t71 = *((unsigned char *)t70); + t72 = (t27 + 56U); + t73 = *((char **)t72); + t72 = (t23 + 0U); + t74 = *((int *)t72); + t75 = (t23 + 8U); + t76 = *((int *)t75); + t77 = (t28 - t74); + t78 = (t77 * t76); + t79 = (1U * t78); + t80 = (0 + t79); + t81 = (t73 + t80); + *((unsigned char *)t81) = t71; + +LAB13: if (t28 == t48) + goto LAB14; + +LAB15: t19 = (t28 + t14); + t28 = t19; + goto LAB11; + +LAB16:; +} + +char *ieee_p_2592010699_sub_1837678034_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + char *t44; + int t45; + char *t46; + int t47; + int t48; + char *t49; + int t50; + unsigned int t51; + unsigned int t52; + char *t53; + unsigned char t54; + int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + unsigned char t60; + char *t61; + char *t62; + int t63; + char *t64; + int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 4024); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t1 + 1648U); + t43 = *((char **)t42); + t42 = (t3 + 0); + t44 = (t7 + 0U); + t45 = *((int *)t44); + t46 = (t7 + 8U); + t47 = *((int *)t46); + t48 = (t38 - t45); + t22 = (t48 * t47); + t49 = (t7 + 4U); + t50 = *((int *)t49); + xsi_vhdl_check_range_of_index(t45, t50, t47, t38); + t51 = (1U * t22); + t52 = (0 + t51); + t53 = (t42 + t52); + t54 = *((unsigned char *)t53); + t55 = (t54 - 0); + t56 = (t55 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t54); + t57 = (1U * t56); + t58 = (0 + t57); + t59 = (t43 + t58); + t60 = *((unsigned char *)t59); + t61 = (t20 + 56U); + t62 = *((char **)t61); + t61 = (t16 + 0U); + t63 = *((int *)t61); + t64 = (t16 + 8U); + t65 = *((int *)t64); + t66 = (t38 - t63); + t67 = (t66 * t65); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t62 + t69); + *((unsigned char *)t70) = t60; + +LAB6: if (t38 == t39) + goto LAB7; + +LAB8: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB9:; +} + +char *ieee_p_2592010699_sub_3946049995_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + char *t44; + int t45; + char *t46; + int t47; + int t48; + char *t49; + int t50; + unsigned int t51; + unsigned int t52; + char *t53; + unsigned char t54; + int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + unsigned char t60; + char *t61; + char *t62; + int t63; + char *t64; + int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 3912); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t1 + 1648U); + t43 = *((char **)t42); + t42 = (t3 + 0); + t44 = (t7 + 0U); + t45 = *((int *)t44); + t46 = (t7 + 8U); + t47 = *((int *)t46); + t48 = (t38 - t45); + t22 = (t48 * t47); + t49 = (t7 + 4U); + t50 = *((int *)t49); + xsi_vhdl_check_range_of_index(t45, t50, t47, t38); + t51 = (1U * t22); + t52 = (0 + t51); + t53 = (t42 + t52); + t54 = *((unsigned char *)t53); + t55 = (t54 - 0); + t56 = (t55 * 1); + t57 = (1U * t56); + t58 = (0 + t57); + t59 = (t43 + t58); + t60 = *((unsigned char *)t59); + t61 = (t20 + 56U); + t62 = *((char **)t61); + t61 = (t16 + 0U); + t63 = *((int *)t61); + t64 = (t16 + 8U); + t65 = *((int *)t64); + t66 = (t38 - t63); + t67 = (t66 * t65); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t62 + t69); + *((unsigned char *)t70) = t60; + +LAB6: if (t38 == t39) + goto LAB7; + +LAB8: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB9:; +} + +unsigned char ieee_p_2592010699_sub_1594292773_503743352(char *t1, unsigned char t2, unsigned char t3) +{ + char t5[8]; + unsigned char t0; + char *t6; + char *t7; + char *t8; + static char *nl0[] = {&&LAB5, &&LAB5, &&LAB3, &&LAB4, &&LAB5, &&LAB5, &&LAB3, &&LAB4, &&LAB5}; + +LAB0: t6 = (t5 + 4U); + *((unsigned char *)t6) = t2; + t7 = (t5 + 5U); + *((unsigned char *)t7) = t3; + t8 = (char *)((nl0) + t2); + goto **((char **)t8); + +LAB2: xsi_error(ng0); + t0 = 0; + +LAB1: return t0; +LAB3: t0 = (unsigned char)0; + goto LAB1; + +LAB4: t0 = (unsigned char)1; + goto LAB1; + +LAB5: t0 = t3; + goto LAB1; + +LAB6: goto LAB2; + +LAB7: goto LAB2; + +LAB8: goto LAB2; + +} + +char *ieee_p_2592010699_sub_3293060193_503743352(char *t1, char *t2, char *t3, char *t4, unsigned char t5) +{ + char t6[128]; + char t7[24]; + char t8[16]; + char t19[16]; + char *t0; + char *t9; + unsigned int t10; + int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + int t16; + int t17; + unsigned int t18; + char *t20; + unsigned int t21; + int t22; + char *t23; + char *t24; + int t25; + unsigned int t26; + char *t27; + char *t28; + char *t29; + char *t30; + char *t31; + char *t32; + char *t33; + unsigned char t34; + char *t35; + char *t36; + char *t37; + int t38; + char *t39; + int t40; + char *t41; + int t42; + int t43; + int t44; + int t45; + int t46; + char *t47; + char *t48; + int t49; + char *t50; + int t51; + int t52; + char *t53; + int t54; + unsigned int t55; + unsigned int t56; + char *t57; + unsigned char t58; + char *t59; + char *t60; + char *t61; + int t62; + char *t63; + int t64; + int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + char *t69; + static char *nl0[] = {&&LAB11, &&LAB11, &&LAB9, &&LAB10, &&LAB11, &&LAB11, &&LAB9, &&LAB10, &&LAB11}; + +LAB0: t9 = (t4 + 12U); + t10 = *((unsigned int *)t9); + t11 = (t10 - 1); + t12 = (t8 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = t11; + t13 = (t12 + 4U); + *((int *)t13) = 0; + t13 = (t12 + 8U); + *((int *)t13) = -1; + t14 = (0 - t11); + t15 = (t14 * -1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t4 + 12U); + t15 = *((unsigned int *)t13); + t16 = (t15 - 1); + t17 = (0 - t16); + t18 = (t17 * -1); + t18 = (t18 + 1); + t18 = (t18 * 1U); + t20 = (t4 + 12U); + t21 = *((unsigned int *)t20); + t22 = (t21 - 1); + t23 = (t19 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t22; + t24 = (t23 + 4U); + *((int *)t24) = 0; + t24 = (t23 + 8U); + *((int *)t24) = -1; + t25 = (0 - t22); + t26 = (t25 * -1); + t26 = (t26 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t26; + t24 = (t6 + 4U); + t27 = ((STD_STANDARD) + 1112); + t28 = (t24 + 88U); + *((char **)t28) = t27; + t29 = (char *)alloca(t18); + t30 = (t24 + 56U); + *((char **)t30) = t29; + xsi_type_set_default_value(t27, t29, t19); + t31 = (t24 + 64U); + *((char **)t31) = t19; + t32 = (t24 + 80U); + *((unsigned int *)t32) = t18; + t33 = (t7 + 4U); + t34 = (t3 != 0); + if (t34 == 1) + goto LAB3; + +LAB2: t35 = (t7 + 12U); + *((char **)t35) = t4; + t36 = (t7 + 20U); + *((unsigned char *)t36) = t5; + t37 = (t19 + 8U); + t38 = *((int *)t37); + t39 = (t19 + 4U); + t40 = *((int *)t39); + t41 = (t19 + 0U); + t42 = *((int *)t41); + t43 = t42; + t44 = t40; + +LAB4: t45 = (t44 * t38); + t46 = (t43 * t38); + if (t46 <= t45) + goto LAB5; + +LAB7: t9 = (t24 + 56U); + t12 = *((char **)t9); + t9 = (t19 + 12U); + t10 = *((unsigned int *)t9); + t10 = (t10 * 1U); + t0 = xsi_get_transient_memory(t10); + memcpy(t0, t12, t10); + t13 = (t19 + 0U); + t11 = *((int *)t13); + t20 = (t19 + 4U); + t14 = *((int *)t20); + t23 = (t19 + 8U); + t16 = *((int *)t23); + t27 = (t2 + 0U); + t28 = (t27 + 0U); + *((int *)t28) = t11; + t28 = (t27 + 4U); + *((int *)t28) = t14; + t28 = (t27 + 8U); + *((int *)t28) = t16; + t17 = (t14 - t11); + t15 = (t17 * t16); + t15 = (t15 + 1); + t28 = (t27 + 12U); + *((unsigned int *)t28) = t15; + +LAB1: return t0; +LAB3: *((char **)t33) = t3; + goto LAB2; + +LAB5: t47 = (t3 + 0); + t48 = (t8 + 0U); + t49 = *((int *)t48); + t50 = (t8 + 8U); + t51 = *((int *)t50); + t52 = (t43 - t49); + t26 = (t52 * t51); + t53 = (t8 + 4U); + t54 = *((int *)t53); + xsi_vhdl_check_range_of_index(t49, t54, t51, t43); + t55 = (1U * t26); + t56 = (0 + t55); + t57 = (t47 + t56); + t58 = *((unsigned char *)t57); + t59 = (char *)((nl0) + t58); + goto **((char **)t59); + +LAB6: if (t43 == t44) + goto LAB7; + +LAB12: t11 = (t43 + t38); + t43 = t11; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t60 = (t24 + 56U); + t61 = *((char **)t60); + t60 = (t19 + 0U); + t62 = *((int *)t60); + t63 = (t19 + 8U); + t64 = *((int *)t63); + t65 = (t43 - t62); + t66 = (t65 * t64); + t67 = (1U * t66); + t68 = (0 + t67); + t69 = (t61 + t68); + *((unsigned char *)t69) = (unsigned char)0; + goto LAB8; + +LAB10: t9 = (t24 + 56U); + t12 = *((char **)t9); + t9 = (t19 + 0U); + t11 = *((int *)t9); + t13 = (t19 + 8U); + t14 = *((int *)t13); + t16 = (t43 - t11); + t10 = (t16 * t14); + t15 = (1U * t10); + t18 = (0 + t15); + t20 = (t12 + t18); + *((unsigned char *)t20) = (unsigned char)1; + goto LAB8; + +LAB11: t9 = (t24 + 56U); + t12 = *((char **)t9); + t9 = (t19 + 0U); + t11 = *((int *)t9); + t13 = (t19 + 8U); + t14 = *((int *)t13); + t16 = (t43 - t11); + t10 = (t16 * t14); + t15 = (1U * t10); + t18 = (0 + t15); + t20 = (t12 + t18); + *((unsigned char *)t20) = t5; + goto LAB8; + +LAB13:; +} + +char *ieee_p_2592010699_sub_3680442889_503743352(char *t1, char *t2, char *t3, char *t4, unsigned char t5) +{ + char t6[128]; + char t7[24]; + char t8[16]; + char t19[16]; + char *t0; + char *t9; + unsigned int t10; + int t11; + char *t12; + char *t13; + int t14; + unsigned int t15; + int t16; + int t17; + unsigned int t18; + char *t20; + unsigned int t21; + int t22; + char *t23; + char *t24; + int t25; + unsigned int t26; + char *t27; + char *t28; + char *t29; + char *t30; + char *t31; + char *t32; + char *t33; + unsigned char t34; + char *t35; + char *t36; + char *t37; + int t38; + char *t39; + int t40; + char *t41; + int t42; + int t43; + int t44; + int t45; + int t46; + char *t47; + char *t48; + int t49; + char *t50; + int t51; + int t52; + char *t53; + int t54; + unsigned int t55; + unsigned int t56; + char *t57; + unsigned char t58; + char *t59; + char *t60; + char *t61; + int t62; + char *t63; + int t64; + int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + char *t69; + static char *nl0[] = {&&LAB11, &&LAB11, &&LAB9, &&LAB10, &&LAB11, &&LAB11, &&LAB9, &&LAB10, &&LAB11}; + +LAB0: t9 = (t4 + 12U); + t10 = *((unsigned int *)t9); + t11 = (t10 - 1); + t12 = (t8 + 0U); + t13 = (t12 + 0U); + *((int *)t13) = t11; + t13 = (t12 + 4U); + *((int *)t13) = 0; + t13 = (t12 + 8U); + *((int *)t13) = -1; + t14 = (0 - t11); + t15 = (t14 * -1); + t15 = (t15 + 1); + t13 = (t12 + 12U); + *((unsigned int *)t13) = t15; + t13 = (t4 + 12U); + t15 = *((unsigned int *)t13); + t16 = (t15 - 1); + t17 = (0 - t16); + t18 = (t17 * -1); + t18 = (t18 + 1); + t18 = (t18 * 1U); + t20 = (t4 + 12U); + t21 = *((unsigned int *)t20); + t22 = (t21 - 1); + t23 = (t19 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t22; + t24 = (t23 + 4U); + *((int *)t24) = 0; + t24 = (t23 + 8U); + *((int *)t24) = -1; + t25 = (0 - t22); + t26 = (t25 * -1); + t26 = (t26 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t26; + t24 = (t6 + 4U); + t27 = ((STD_STANDARD) + 1112); + t28 = (t24 + 88U); + *((char **)t28) = t27; + t29 = (char *)alloca(t18); + t30 = (t24 + 56U); + *((char **)t30) = t29; + xsi_type_set_default_value(t27, t29, t19); + t31 = (t24 + 64U); + *((char **)t31) = t19; + t32 = (t24 + 80U); + *((unsigned int *)t32) = t18; + t33 = (t7 + 4U); + t34 = (t3 != 0); + if (t34 == 1) + goto LAB3; + +LAB2: t35 = (t7 + 12U); + *((char **)t35) = t4; + t36 = (t7 + 20U); + *((unsigned char *)t36) = t5; + t37 = (t19 + 8U); + t38 = *((int *)t37); + t39 = (t19 + 4U); + t40 = *((int *)t39); + t41 = (t19 + 0U); + t42 = *((int *)t41); + t43 = t42; + t44 = t40; + +LAB4: t45 = (t44 * t38); + t46 = (t43 * t38); + if (t46 <= t45) + goto LAB5; + +LAB7: t9 = (t24 + 56U); + t12 = *((char **)t9); + t9 = (t19 + 12U); + t10 = *((unsigned int *)t9); + t10 = (t10 * 1U); + t0 = xsi_get_transient_memory(t10); + memcpy(t0, t12, t10); + t13 = (t19 + 0U); + t11 = *((int *)t13); + t20 = (t19 + 4U); + t14 = *((int *)t20); + t23 = (t19 + 8U); + t16 = *((int *)t23); + t27 = (t2 + 0U); + t28 = (t27 + 0U); + *((int *)t28) = t11; + t28 = (t27 + 4U); + *((int *)t28) = t14; + t28 = (t27 + 8U); + *((int *)t28) = t16; + t17 = (t14 - t11); + t15 = (t17 * t16); + t15 = (t15 + 1); + t28 = (t27 + 12U); + *((unsigned int *)t28) = t15; + +LAB1: return t0; +LAB3: *((char **)t33) = t3; + goto LAB2; + +LAB5: t47 = (t3 + 0); + t48 = (t8 + 0U); + t49 = *((int *)t48); + t50 = (t8 + 8U); + t51 = *((int *)t50); + t52 = (t43 - t49); + t26 = (t52 * t51); + t53 = (t8 + 4U); + t54 = *((int *)t53); + xsi_vhdl_check_range_of_index(t49, t54, t51, t43); + t55 = (1U * t26); + t56 = (0 + t55); + t57 = (t47 + t56); + t58 = *((unsigned char *)t57); + t59 = (char *)((nl0) + t58); + goto **((char **)t59); + +LAB6: if (t43 == t44) + goto LAB7; + +LAB12: t11 = (t43 + t38); + t43 = t11; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t60 = (t24 + 56U); + t61 = *((char **)t60); + t60 = (t19 + 0U); + t62 = *((int *)t60); + t63 = (t19 + 8U); + t64 = *((int *)t63); + t65 = (t43 - t62); + t66 = (t65 * t64); + t67 = (1U * t66); + t68 = (0 + t67); + t69 = (t61 + t68); + *((unsigned char *)t69) = (unsigned char)0; + goto LAB8; + +LAB10: t9 = (t24 + 56U); + t12 = *((char **)t9); + t9 = (t19 + 0U); + t11 = *((int *)t9); + t13 = (t19 + 8U); + t14 = *((int *)t13); + t16 = (t43 - t11); + t10 = (t16 * t14); + t15 = (1U * t10); + t18 = (0 + t15); + t20 = (t12 + t18); + *((unsigned char *)t20) = (unsigned char)1; + goto LAB8; + +LAB11: t9 = (t24 + 56U); + t12 = *((char **)t9); + t9 = (t19 + 0U); + t11 = *((int *)t9); + t13 = (t19 + 8U); + t14 = *((int *)t13); + t16 = (t43 - t11); + t10 = (t16 * t14); + t15 = (1U * t10); + t18 = (0 + t15); + t20 = (t12 + t18); + *((unsigned char *)t20) = t5; + goto LAB8; + +LAB13:; +} + +unsigned char ieee_p_2592010699_sub_1118889809_503743352(char *t1, unsigned char t2) +{ + char t4[8]; + unsigned char t0; + char *t5; + char *t6; + static char *nl0[] = {&&LAB3, &&LAB4}; + +LAB0: t5 = (t4 + 4U); + *((unsigned char *)t5) = t2; + t6 = (char *)((nl0) + t2); + goto **((char **)t6); + +LAB2: xsi_error(ng2); + t0 = 0; + +LAB1: return t0; +LAB3: t0 = (unsigned char)2; + goto LAB1; + +LAB4: t0 = (unsigned char)3; + goto LAB1; + +LAB5: goto LAB2; + +LAB6: goto LAB2; + +} + +char *ieee_p_2592010699_sub_393209765_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t18[16]; + char *t0; + char *t8; + unsigned int t9; + int t10; + char *t11; + char *t12; + int t13; + unsigned int t14; + int t15; + int t16; + unsigned int t17; + char *t19; + unsigned int t20; + int t21; + char *t22; + char *t23; + int t24; + unsigned int t25; + char *t26; + char *t27; + char *t28; + char *t29; + char *t30; + char *t31; + char *t32; + unsigned char t33; + char *t34; + char *t35; + int t36; + char *t37; + int t38; + char *t39; + int t40; + int t41; + int t42; + int t43; + int t44; + char *t45; + char *t46; + int t47; + char *t48; + int t49; + int t50; + char *t51; + int t52; + unsigned int t53; + unsigned int t54; + char *t55; + unsigned char t56; + char *t57; + char *t58; + char *t59; + int t60; + char *t61; + int t62; + int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + char *t67; + static char *nl0[] = {&&LAB9, &&LAB10}; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t9 - 1); + t11 = (t7 + 0U); + t12 = (t11 + 0U); + *((int *)t12) = t10; + t12 = (t11 + 4U); + *((int *)t12) = 0; + t12 = (t11 + 8U); + *((int *)t12) = -1; + t13 = (0 - t10); + t14 = (t13 * -1); + t14 = (t14 + 1); + t12 = (t11 + 12U); + *((unsigned int *)t12) = t14; + t12 = (t4 + 12U); + t14 = *((unsigned int *)t12); + t15 = (t14 - 1); + t16 = (0 - t15); + t17 = (t16 * -1); + t17 = (t17 + 1); + t17 = (t17 * 1U); + t19 = (t4 + 12U); + t20 = *((unsigned int *)t19); + t21 = (t20 - 1); + t22 = (t18 + 0U); + t23 = (t22 + 0U); + *((int *)t23) = t21; + t23 = (t22 + 4U); + *((int *)t23) = 0; + t23 = (t22 + 8U); + *((int *)t23) = -1; + t24 = (0 - t21); + t25 = (t24 * -1); + t25 = (t25 + 1); + t23 = (t22 + 12U); + *((unsigned int *)t23) = t25; + t23 = (t5 + 4U); + t26 = (t1 + 4024); + t27 = (t23 + 88U); + *((char **)t27) = t26; + t28 = (char *)alloca(t17); + t29 = (t23 + 56U); + *((char **)t29) = t28; + xsi_type_set_default_value(t26, t28, t18); + t30 = (t23 + 64U); + *((char **)t30) = t18; + t31 = (t23 + 80U); + *((unsigned int *)t31) = t17; + t32 = (t6 + 4U); + t33 = (t3 != 0); + if (t33 == 1) + goto LAB3; + +LAB2: t34 = (t6 + 12U); + *((char **)t34) = t4; + t35 = (t18 + 8U); + t36 = *((int *)t35); + t37 = (t18 + 4U); + t38 = *((int *)t37); + t39 = (t18 + 0U); + t40 = *((int *)t39); + t41 = t40; + t42 = t38; + +LAB4: t43 = (t42 * t36); + t44 = (t41 * t36); + if (t44 <= t43) + goto LAB5; + +LAB7: t8 = (t23 + 56U); + t11 = *((char **)t8); + t8 = (t18 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t11, t9); + t12 = (t18 + 0U); + t10 = *((int *)t12); + t19 = (t18 + 4U); + t13 = *((int *)t19); + t22 = (t18 + 8U); + t15 = *((int *)t22); + t26 = (t2 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = t10; + t27 = (t26 + 4U); + *((int *)t27) = t13; + t27 = (t26 + 8U); + *((int *)t27) = t15; + t16 = (t13 - t10); + t14 = (t16 * t15); + t14 = (t14 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t14; + +LAB1: return t0; +LAB3: *((char **)t32) = t3; + goto LAB2; + +LAB5: t45 = (t3 + 0); + t46 = (t7 + 0U); + t47 = *((int *)t46); + t48 = (t7 + 8U); + t49 = *((int *)t48); + t50 = (t41 - t47); + t25 = (t50 * t49); + t51 = (t7 + 4U); + t52 = *((int *)t51); + xsi_vhdl_check_range_of_index(t47, t52, t49, t41); + t53 = (1U * t25); + t54 = (0 + t53); + t55 = (t45 + t54); + t56 = *((unsigned char *)t55); + t57 = (char *)((nl0) + t56); + goto **((char **)t57); + +LAB6: if (t41 == t42) + goto LAB7; + +LAB11: t10 = (t41 + t36); + t41 = t10; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t58 = (t23 + 56U); + t59 = *((char **)t58); + t58 = (t18 + 0U); + t60 = *((int *)t58); + t61 = (t18 + 8U); + t62 = *((int *)t61); + t63 = (t41 - t60); + t64 = (t63 * t62); + t65 = (1U * t64); + t66 = (0 + t65); + t67 = (t59 + t66); + *((unsigned char *)t67) = (unsigned char)2; + goto LAB8; + +LAB10: t8 = (t23 + 56U); + t11 = *((char **)t8); + t8 = (t18 + 0U); + t10 = *((int *)t8); + t12 = (t18 + 8U); + t13 = *((int *)t12); + t15 = (t41 - t10); + t9 = (t15 * t13); + t14 = (1U * t9); + t17 = (0 + t14); + t19 = (t11 + t17); + *((unsigned char *)t19) = (unsigned char)3; + goto LAB8; + +LAB12:; +} + +char *ieee_p_2592010699_sub_3879918230_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t18[16]; + char *t0; + char *t8; + unsigned int t9; + int t10; + char *t11; + char *t12; + int t13; + unsigned int t14; + int t15; + int t16; + unsigned int t17; + char *t19; + unsigned int t20; + int t21; + char *t22; + char *t23; + int t24; + unsigned int t25; + char *t26; + char *t27; + char *t28; + char *t29; + char *t30; + char *t31; + char *t32; + unsigned char t33; + char *t34; + char *t35; + int t36; + char *t37; + int t38; + char *t39; + int t40; + int t41; + int t42; + int t43; + int t44; + char *t45; + char *t46; + int t47; + char *t48; + int t49; + int t50; + char *t51; + int t52; + unsigned int t53; + unsigned int t54; + char *t55; + unsigned char t56; + char *t57; + char *t58; + int t59; + char *t60; + int t61; + int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + char *t66; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t9 - 1); + t11 = (t7 + 0U); + t12 = (t11 + 0U); + *((int *)t12) = t10; + t12 = (t11 + 4U); + *((int *)t12) = 0; + t12 = (t11 + 8U); + *((int *)t12) = -1; + t13 = (0 - t10); + t14 = (t13 * -1); + t14 = (t14 + 1); + t12 = (t11 + 12U); + *((unsigned int *)t12) = t14; + t12 = (t4 + 12U); + t14 = *((unsigned int *)t12); + t15 = (t14 - 1); + t16 = (0 - t15); + t17 = (t16 * -1); + t17 = (t17 + 1); + t17 = (t17 * 1U); + t19 = (t4 + 12U); + t20 = *((unsigned int *)t19); + t21 = (t20 - 1); + t22 = (t18 + 0U); + t23 = (t22 + 0U); + *((int *)t23) = t21; + t23 = (t22 + 4U); + *((int *)t23) = 0; + t23 = (t22 + 8U); + *((int *)t23) = -1; + t24 = (0 - t21); + t25 = (t24 * -1); + t25 = (t25 + 1); + t23 = (t22 + 12U); + *((unsigned int *)t23) = t25; + t23 = (t5 + 4U); + t26 = (t1 + 4024); + t27 = (t23 + 88U); + *((char **)t27) = t26; + t28 = (char *)alloca(t17); + t29 = (t23 + 56U); + *((char **)t29) = t28; + xsi_type_set_default_value(t26, t28, t18); + t30 = (t23 + 64U); + *((char **)t30) = t18; + t31 = (t23 + 80U); + *((unsigned int *)t31) = t17; + t32 = (t6 + 4U); + t33 = (t3 != 0); + if (t33 == 1) + goto LAB3; + +LAB2: t34 = (t6 + 12U); + *((char **)t34) = t4; + t35 = (t18 + 8U); + t36 = *((int *)t35); + t37 = (t18 + 4U); + t38 = *((int *)t37); + t39 = (t18 + 0U); + t40 = *((int *)t39); + t41 = t40; + t42 = t38; + +LAB4: t43 = (t42 * t36); + t44 = (t41 * t36); + if (t44 <= t43) + goto LAB5; + +LAB7: t8 = (t23 + 56U); + t11 = *((char **)t8); + t8 = (t18 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t11, t9); + t12 = (t18 + 0U); + t10 = *((int *)t12); + t19 = (t18 + 4U); + t13 = *((int *)t19); + t22 = (t18 + 8U); + t15 = *((int *)t22); + t26 = (t2 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = t10; + t27 = (t26 + 4U); + *((int *)t27) = t13; + t27 = (t26 + 8U); + *((int *)t27) = t15; + t16 = (t13 - t10); + t14 = (t16 * t15); + t14 = (t14 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t14; + +LAB1: return t0; +LAB3: *((char **)t32) = t3; + goto LAB2; + +LAB5: t45 = (t3 + 0); + t46 = (t7 + 0U); + t47 = *((int *)t46); + t48 = (t7 + 8U); + t49 = *((int *)t48); + t50 = (t41 - t47); + t25 = (t50 * t49); + t51 = (t7 + 4U); + t52 = *((int *)t51); + xsi_vhdl_check_range_of_index(t47, t52, t49, t41); + t53 = (1U * t25); + t54 = (0 + t53); + t55 = (t45 + t54); + t56 = *((unsigned char *)t55); + t57 = (t23 + 56U); + t58 = *((char **)t57); + t57 = (t18 + 0U); + t59 = *((int *)t57); + t60 = (t18 + 8U); + t61 = *((int *)t60); + t62 = (t41 - t59); + t63 = (t62 * t61); + t64 = (1U * t63); + t65 = (0 + t64); + t66 = (t58 + t65); + *((unsigned char *)t66) = t56; + +LAB6: if (t41 == t42) + goto LAB7; + +LAB8: t10 = (t41 + t36); + t41 = t10; + goto LAB4; + +LAB9:; +} + +char *ieee_p_2592010699_sub_2063748877_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t18[16]; + char *t0; + char *t8; + unsigned int t9; + int t10; + char *t11; + char *t12; + int t13; + unsigned int t14; + int t15; + int t16; + unsigned int t17; + char *t19; + unsigned int t20; + int t21; + char *t22; + char *t23; + int t24; + unsigned int t25; + char *t26; + char *t27; + char *t28; + char *t29; + char *t30; + char *t31; + char *t32; + unsigned char t33; + char *t34; + char *t35; + int t36; + char *t37; + int t38; + char *t39; + int t40; + int t41; + int t42; + int t43; + int t44; + char *t45; + char *t46; + int t47; + char *t48; + int t49; + int t50; + char *t51; + int t52; + unsigned int t53; + unsigned int t54; + char *t55; + unsigned char t56; + char *t57; + char *t58; + char *t59; + int t60; + char *t61; + int t62; + int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + char *t67; + static char *nl0[] = {&&LAB9, &&LAB10}; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t9 - 1); + t11 = (t7 + 0U); + t12 = (t11 + 0U); + *((int *)t12) = t10; + t12 = (t11 + 4U); + *((int *)t12) = 0; + t12 = (t11 + 8U); + *((int *)t12) = -1; + t13 = (0 - t10); + t14 = (t13 * -1); + t14 = (t14 + 1); + t12 = (t11 + 12U); + *((unsigned int *)t12) = t14; + t12 = (t4 + 12U); + t14 = *((unsigned int *)t12); + t15 = (t14 - 1); + t16 = (0 - t15); + t17 = (t16 * -1); + t17 = (t17 + 1); + t17 = (t17 * 1U); + t19 = (t4 + 12U); + t20 = *((unsigned int *)t19); + t21 = (t20 - 1); + t22 = (t18 + 0U); + t23 = (t22 + 0U); + *((int *)t23) = t21; + t23 = (t22 + 4U); + *((int *)t23) = 0; + t23 = (t22 + 8U); + *((int *)t23) = -1; + t24 = (0 - t21); + t25 = (t24 * -1); + t25 = (t25 + 1); + t23 = (t22 + 12U); + *((unsigned int *)t23) = t25; + t23 = (t5 + 4U); + t26 = (t1 + 3912); + t27 = (t23 + 88U); + *((char **)t27) = t26; + t28 = (char *)alloca(t17); + t29 = (t23 + 56U); + *((char **)t29) = t28; + xsi_type_set_default_value(t26, t28, t18); + t30 = (t23 + 64U); + *((char **)t30) = t18; + t31 = (t23 + 80U); + *((unsigned int *)t31) = t17; + t32 = (t6 + 4U); + t33 = (t3 != 0); + if (t33 == 1) + goto LAB3; + +LAB2: t34 = (t6 + 12U); + *((char **)t34) = t4; + t35 = (t18 + 8U); + t36 = *((int *)t35); + t37 = (t18 + 4U); + t38 = *((int *)t37); + t39 = (t18 + 0U); + t40 = *((int *)t39); + t41 = t40; + t42 = t38; + +LAB4: t43 = (t42 * t36); + t44 = (t41 * t36); + if (t44 <= t43) + goto LAB5; + +LAB7: t8 = (t23 + 56U); + t11 = *((char **)t8); + t8 = (t18 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t11, t9); + t12 = (t18 + 0U); + t10 = *((int *)t12); + t19 = (t18 + 4U); + t13 = *((int *)t19); + t22 = (t18 + 8U); + t15 = *((int *)t22); + t26 = (t2 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = t10; + t27 = (t26 + 4U); + *((int *)t27) = t13; + t27 = (t26 + 8U); + *((int *)t27) = t15; + t16 = (t13 - t10); + t14 = (t16 * t15); + t14 = (t14 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t14; + +LAB1: return t0; +LAB3: *((char **)t32) = t3; + goto LAB2; + +LAB5: t45 = (t3 + 0); + t46 = (t7 + 0U); + t47 = *((int *)t46); + t48 = (t7 + 8U); + t49 = *((int *)t48); + t50 = (t41 - t47); + t25 = (t50 * t49); + t51 = (t7 + 4U); + t52 = *((int *)t51); + xsi_vhdl_check_range_of_index(t47, t52, t49, t41); + t53 = (1U * t25); + t54 = (0 + t53); + t55 = (t45 + t54); + t56 = *((unsigned char *)t55); + t57 = (char *)((nl0) + t56); + goto **((char **)t57); + +LAB6: if (t41 == t42) + goto LAB7; + +LAB11: t10 = (t41 + t36); + t41 = t10; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t58 = (t23 + 56U); + t59 = *((char **)t58); + t58 = (t18 + 0U); + t60 = *((int *)t58); + t61 = (t18 + 8U); + t62 = *((int *)t61); + t63 = (t41 - t60); + t64 = (t63 * t62); + t65 = (1U * t64); + t66 = (0 + t65); + t67 = (t59 + t66); + *((unsigned char *)t67) = (unsigned char)2; + goto LAB8; + +LAB10: t8 = (t23 + 56U); + t11 = *((char **)t8); + t8 = (t18 + 0U); + t10 = *((int *)t8); + t12 = (t18 + 8U); + t13 = *((int *)t12); + t15 = (t41 - t10); + t9 = (t15 * t13); + t14 = (1U * t9); + t17 = (0 + t14); + t19 = (t11 + t17); + *((unsigned char *)t19) = (unsigned char)3; + goto LAB8; + +LAB12:; +} + +char *ieee_p_2592010699_sub_2331123822_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t18[16]; + char *t0; + char *t8; + unsigned int t9; + int t10; + char *t11; + char *t12; + int t13; + unsigned int t14; + int t15; + int t16; + unsigned int t17; + char *t19; + unsigned int t20; + int t21; + char *t22; + char *t23; + int t24; + unsigned int t25; + char *t26; + char *t27; + char *t28; + char *t29; + char *t30; + char *t31; + char *t32; + unsigned char t33; + char *t34; + char *t35; + int t36; + char *t37; + int t38; + char *t39; + int t40; + int t41; + int t42; + int t43; + int t44; + char *t45; + char *t46; + int t47; + char *t48; + int t49; + int t50; + char *t51; + int t52; + unsigned int t53; + unsigned int t54; + char *t55; + unsigned char t56; + char *t57; + char *t58; + int t59; + char *t60; + int t61; + int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + char *t66; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t9 - 1); + t11 = (t7 + 0U); + t12 = (t11 + 0U); + *((int *)t12) = t10; + t12 = (t11 + 4U); + *((int *)t12) = 0; + t12 = (t11 + 8U); + *((int *)t12) = -1; + t13 = (0 - t10); + t14 = (t13 * -1); + t14 = (t14 + 1); + t12 = (t11 + 12U); + *((unsigned int *)t12) = t14; + t12 = (t4 + 12U); + t14 = *((unsigned int *)t12); + t15 = (t14 - 1); + t16 = (0 - t15); + t17 = (t16 * -1); + t17 = (t17 + 1); + t17 = (t17 * 1U); + t19 = (t4 + 12U); + t20 = *((unsigned int *)t19); + t21 = (t20 - 1); + t22 = (t18 + 0U); + t23 = (t22 + 0U); + *((int *)t23) = t21; + t23 = (t22 + 4U); + *((int *)t23) = 0; + t23 = (t22 + 8U); + *((int *)t23) = -1; + t24 = (0 - t21); + t25 = (t24 * -1); + t25 = (t25 + 1); + t23 = (t22 + 12U); + *((unsigned int *)t23) = t25; + t23 = (t5 + 4U); + t26 = (t1 + 3912); + t27 = (t23 + 88U); + *((char **)t27) = t26; + t28 = (char *)alloca(t17); + t29 = (t23 + 56U); + *((char **)t29) = t28; + xsi_type_set_default_value(t26, t28, t18); + t30 = (t23 + 64U); + *((char **)t30) = t18; + t31 = (t23 + 80U); + *((unsigned int *)t31) = t17; + t32 = (t6 + 4U); + t33 = (t3 != 0); + if (t33 == 1) + goto LAB3; + +LAB2: t34 = (t6 + 12U); + *((char **)t34) = t4; + t35 = (t18 + 8U); + t36 = *((int *)t35); + t37 = (t18 + 4U); + t38 = *((int *)t37); + t39 = (t18 + 0U); + t40 = *((int *)t39); + t41 = t40; + t42 = t38; + +LAB4: t43 = (t42 * t36); + t44 = (t41 * t36); + if (t44 <= t43) + goto LAB5; + +LAB7: t8 = (t23 + 56U); + t11 = *((char **)t8); + t8 = (t18 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t11, t9); + t12 = (t18 + 0U); + t10 = *((int *)t12); + t19 = (t18 + 4U); + t13 = *((int *)t19); + t22 = (t18 + 8U); + t15 = *((int *)t22); + t26 = (t2 + 0U); + t27 = (t26 + 0U); + *((int *)t27) = t10; + t27 = (t26 + 4U); + *((int *)t27) = t13; + t27 = (t26 + 8U); + *((int *)t27) = t15; + t16 = (t13 - t10); + t14 = (t16 * t15); + t14 = (t14 + 1); + t27 = (t26 + 12U); + *((unsigned int *)t27) = t14; + +LAB1: return t0; +LAB3: *((char **)t32) = t3; + goto LAB2; + +LAB5: t45 = (t3 + 0); + t46 = (t7 + 0U); + t47 = *((int *)t46); + t48 = (t7 + 8U); + t49 = *((int *)t48); + t50 = (t41 - t47); + t25 = (t50 * t49); + t51 = (t7 + 4U); + t52 = *((int *)t51); + xsi_vhdl_check_range_of_index(t47, t52, t49, t41); + t53 = (1U * t25); + t54 = (0 + t53); + t55 = (t45 + t54); + t56 = *((unsigned char *)t55); + t57 = (t23 + 56U); + t58 = *((char **)t57); + t57 = (t18 + 0U); + t59 = *((int *)t57); + t60 = (t18 + 8U); + t61 = *((int *)t60); + t62 = (t41 - t59); + t63 = (t62 * t61); + t64 = (1U * t63); + t65 = (0 + t64); + t66 = (t58 + t65); + *((unsigned char *)t66) = t56; + +LAB6: if (t41 == t42) + goto LAB7; + +LAB8: t10 = (t41 + t36); + t41 = t10; + goto LAB4; + +LAB9:; +} + +char *ieee_p_2592010699_sub_3262517747_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + char *t44; + int t45; + char *t46; + int t47; + int t48; + char *t49; + int t50; + unsigned int t51; + unsigned int t52; + char *t53; + unsigned char t54; + int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + unsigned char t60; + char *t61; + char *t62; + int t63; + char *t64; + int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 4024); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t1 + 1768U); + t43 = *((char **)t42); + t42 = (t3 + 0); + t44 = (t7 + 0U); + t45 = *((int *)t44); + t46 = (t7 + 8U); + t47 = *((int *)t46); + t48 = (t38 - t45); + t22 = (t48 * t47); + t49 = (t7 + 4U); + t50 = *((int *)t49); + xsi_vhdl_check_range_of_index(t45, t50, t47, t38); + t51 = (1U * t22); + t52 = (0 + t51); + t53 = (t42 + t52); + t54 = *((unsigned char *)t53); + t55 = (t54 - 0); + t56 = (t55 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t54); + t57 = (1U * t56); + t58 = (0 + t57); + t59 = (t43 + t58); + t60 = *((unsigned char *)t59); + t61 = (t20 + 56U); + t62 = *((char **)t61); + t61 = (t16 + 0U); + t63 = *((int *)t61); + t64 = (t16 + 8U); + t65 = *((int *)t64); + t66 = (t38 - t63); + t67 = (t66 * t65); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t62 + t69); + *((unsigned char *)t70) = t60; + +LAB6: if (t38 == t39) + goto LAB7; + +LAB8: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB9:; +} + +char *ieee_p_2592010699_sub_2274186774_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + char *t44; + int t45; + char *t46; + int t47; + int t48; + char *t49; + int t50; + unsigned int t51; + unsigned int t52; + char *t53; + unsigned char t54; + int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + unsigned char t60; + char *t61; + char *t62; + int t63; + char *t64; + int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 3912); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t1 + 1768U); + t43 = *((char **)t42); + t42 = (t3 + 0); + t44 = (t7 + 0U); + t45 = *((int *)t44); + t46 = (t7 + 8U); + t47 = *((int *)t46); + t48 = (t38 - t45); + t22 = (t48 * t47); + t49 = (t7 + 4U); + t50 = *((int *)t49); + xsi_vhdl_check_range_of_index(t45, t50, t47, t38); + t51 = (1U * t22); + t52 = (0 + t51); + t53 = (t42 + t52); + t54 = *((unsigned char *)t53); + t55 = (t54 - 0); + t56 = (t55 * 1); + t57 = (1U * t56); + t58 = (0 + t57); + t59 = (t43 + t58); + t60 = *((unsigned char *)t59); + t61 = (t20 + 56U); + t62 = *((char **)t61); + t61 = (t16 + 0U); + t63 = *((int *)t61); + t64 = (t16 + 8U); + t65 = *((int *)t64); + t66 = (t38 - t63); + t67 = (t66 * t65); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t62 + t69); + *((unsigned char *)t70) = t60; + +LAB6: if (t38 == t39) + goto LAB7; + +LAB8: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB9:; +} + +unsigned char ieee_p_2592010699_sub_1388759734_503743352(char *t1, unsigned char t2) +{ + char t4[8]; + unsigned char t0; + char *t5; + char *t6; + char *t7; + int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned char t12; + +LAB0: t5 = (t4 + 4U); + *((unsigned char *)t5) = t2; + t6 = (t1 + 1768U); + t7 = *((char **)t6); + t8 = (t2 - 0); + t9 = (t8 * 1); + t10 = (1U * t9); + t11 = (0 + t10); + t6 = (t7 + t11); + t12 = *((unsigned char *)t6); + t0 = t12; + +LAB1: return t0; +LAB2:; +} + +char *ieee_p_2592010699_sub_4068884626_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + int t44; + char *t45; + int t46; + int t47; + char *t48; + int t49; + unsigned int t50; + unsigned int t51; + char *t52; + unsigned char t53; + char *t54; + char *t55; + char *t56; + int t57; + char *t58; + int t59; + int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + static char *nl0[] = {&&LAB9, &&LAB10}; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 4024); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t3 + 0); + t43 = (t7 + 0U); + t44 = *((int *)t43); + t45 = (t7 + 8U); + t46 = *((int *)t45); + t47 = (t38 - t44); + t22 = (t47 * t46); + t48 = (t7 + 4U); + t49 = *((int *)t48); + xsi_vhdl_check_range_of_index(t44, t49, t46, t38); + t50 = (1U * t22); + t51 = (0 + t50); + t52 = (t42 + t51); + t53 = *((unsigned char *)t52); + t54 = (char *)((nl0) + t53); + goto **((char **)t54); + +LAB6: if (t38 == t39) + goto LAB7; + +LAB11: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t55 = (t20 + 56U); + t56 = *((char **)t55); + t55 = (t16 + 0U); + t57 = *((int *)t55); + t58 = (t16 + 8U); + t59 = *((int *)t58); + t60 = (t38 - t57); + t61 = (t60 * t59); + t62 = (1U * t61); + t63 = (0 + t62); + t64 = (t56 + t63); + *((unsigned char *)t64) = (unsigned char)2; + goto LAB8; + +LAB10: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 0U); + t12 = *((int *)t8); + t11 = (t16 + 8U); + t14 = *((int *)t11); + t21 = (t38 - t12); + t9 = (t21 * t14); + t13 = (1U * t9); + t15 = (0 + t13); + t17 = (t10 + t15); + *((unsigned char *)t17) = (unsigned char)3; + goto LAB8; + +LAB12:; +} + +char *ieee_p_2592010699_sub_2008703781_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + int t44; + char *t45; + int t46; + int t47; + char *t48; + int t49; + unsigned int t50; + unsigned int t51; + char *t52; + unsigned char t53; + char *t54; + char *t55; + char *t56; + int t57; + char *t58; + int t59; + int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + static char *nl0[] = {&&LAB9, &&LAB10}; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 3912); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t3 + 0); + t43 = (t7 + 0U); + t44 = *((int *)t43); + t45 = (t7 + 8U); + t46 = *((int *)t45); + t47 = (t38 - t44); + t22 = (t47 * t46); + t48 = (t7 + 4U); + t49 = *((int *)t48); + xsi_vhdl_check_range_of_index(t44, t49, t46, t38); + t50 = (1U * t22); + t51 = (0 + t50); + t52 = (t42 + t51); + t53 = *((unsigned char *)t52); + t54 = (char *)((nl0) + t53); + goto **((char **)t54); + +LAB6: if (t38 == t39) + goto LAB7; + +LAB11: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t55 = (t20 + 56U); + t56 = *((char **)t55); + t55 = (t16 + 0U); + t57 = *((int *)t55); + t58 = (t16 + 8U); + t59 = *((int *)t58); + t60 = (t38 - t57); + t61 = (t60 * t59); + t62 = (1U * t61); + t63 = (0 + t62); + t64 = (t56 + t63); + *((unsigned char *)t64) = (unsigned char)2; + goto LAB8; + +LAB10: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 0U); + t12 = *((int *)t8); + t11 = (t16 + 8U); + t14 = *((int *)t11); + t21 = (t38 - t12); + t9 = (t21 * t14); + t13 = (1U * t9); + t15 = (0 + t13); + t17 = (t10 + t15); + *((unsigned char *)t17) = (unsigned char)3; + goto LAB8; + +LAB12:; +} + +unsigned char ieee_p_2592010699_sub_1361094856_503743352(char *t1, unsigned char t2) +{ + char t4[8]; + unsigned char t0; + char *t5; + char *t6; + static char *nl0[] = {&&LAB3, &&LAB4}; + +LAB0: t5 = (t4 + 4U); + *((unsigned char *)t5) = t2; + t6 = (char *)((nl0) + t2); + goto **((char **)t6); + +LAB2: xsi_error(ng3); + t0 = 0; + +LAB1: return t0; +LAB3: t0 = (unsigned char)2; + goto LAB1; + +LAB4: t0 = (unsigned char)3; + goto LAB1; + +LAB5: goto LAB2; + +LAB6: goto LAB2; + +} + +char *ieee_p_2592010699_sub_3381749997_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + char *t44; + int t45; + char *t46; + int t47; + int t48; + char *t49; + int t50; + unsigned int t51; + unsigned int t52; + char *t53; + unsigned char t54; + int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + unsigned char t60; + char *t61; + char *t62; + int t63; + char *t64; + int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 4024); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t1 + 1888U); + t43 = *((char **)t42); + t42 = (t3 + 0); + t44 = (t7 + 0U); + t45 = *((int *)t44); + t46 = (t7 + 8U); + t47 = *((int *)t46); + t48 = (t38 - t45); + t22 = (t48 * t47); + t49 = (t7 + 4U); + t50 = *((int *)t49); + xsi_vhdl_check_range_of_index(t45, t50, t47, t38); + t51 = (1U * t22); + t52 = (0 + t51); + t53 = (t42 + t52); + t54 = *((unsigned char *)t53); + t55 = (t54 - 0); + t56 = (t55 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t54); + t57 = (1U * t56); + t58 = (0 + t57); + t59 = (t43 + t58); + t60 = *((unsigned char *)t59); + t61 = (t20 + 56U); + t62 = *((char **)t61); + t61 = (t16 + 0U); + t63 = *((int *)t61); + t64 = (t16 + 8U); + t65 = *((int *)t64); + t66 = (t38 - t63); + t67 = (t66 * t65); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t62 + t69); + *((unsigned char *)t70) = t60; + +LAB6: if (t38 == t39) + goto LAB7; + +LAB8: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB9:; +} + +char *ieee_p_2592010699_sub_2393419024_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + char *t44; + int t45; + char *t46; + int t47; + int t48; + char *t49; + int t50; + unsigned int t51; + unsigned int t52; + char *t53; + unsigned char t54; + int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + unsigned char t60; + char *t61; + char *t62; + int t63; + char *t64; + int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 3912); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t1 + 1888U); + t43 = *((char **)t42); + t42 = (t3 + 0); + t44 = (t7 + 0U); + t45 = *((int *)t44); + t46 = (t7 + 8U); + t47 = *((int *)t46); + t48 = (t38 - t45); + t22 = (t48 * t47); + t49 = (t7 + 4U); + t50 = *((int *)t49); + xsi_vhdl_check_range_of_index(t45, t50, t47, t38); + t51 = (1U * t22); + t52 = (0 + t51); + t53 = (t42 + t52); + t54 = *((unsigned char *)t53); + t55 = (t54 - 0); + t56 = (t55 * 1); + t57 = (1U * t56); + t58 = (0 + t57); + t59 = (t43 + t58); + t60 = *((unsigned char *)t59); + t61 = (t20 + 56U); + t62 = *((char **)t61); + t61 = (t16 + 0U); + t63 = *((int *)t61); + t64 = (t16 + 8U); + t65 = *((int *)t64); + t66 = (t38 - t63); + t67 = (t66 * t65); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t62 + t69); + *((unsigned char *)t70) = t60; + +LAB6: if (t38 == t39) + goto LAB7; + +LAB8: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB9:; +} + +unsigned char ieee_p_2592010699_sub_3990364458_503743352(char *t1, unsigned char t2) +{ + char t4[8]; + unsigned char t0; + char *t5; + char *t6; + char *t7; + int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned char t12; + +LAB0: t5 = (t4 + 4U); + *((unsigned char *)t5) = t2; + t6 = (t1 + 1888U); + t7 = *((char **)t6); + t8 = (t2 - 0); + t9 = (t8 * 1); + t10 = (1U * t9); + t11 = (0 + t10); + t6 = (t7 + t11); + t12 = *((unsigned char *)t6); + t0 = t12; + +LAB1: return t0; +LAB2:; +} + +char *ieee_p_2592010699_sub_4188116876_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + int t44; + char *t45; + int t46; + int t47; + char *t48; + int t49; + unsigned int t50; + unsigned int t51; + char *t52; + unsigned char t53; + char *t54; + char *t55; + char *t56; + int t57; + char *t58; + int t59; + int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + static char *nl0[] = {&&LAB9, &&LAB10}; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 4024); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t3 + 0); + t43 = (t7 + 0U); + t44 = *((int *)t43); + t45 = (t7 + 8U); + t46 = *((int *)t45); + t47 = (t38 - t44); + t22 = (t47 * t46); + t48 = (t7 + 4U); + t49 = *((int *)t48); + xsi_vhdl_check_range_of_index(t44, t49, t46, t38); + t50 = (1U * t22); + t51 = (0 + t50); + t52 = (t42 + t51); + t53 = *((unsigned char *)t52); + t54 = (char *)((nl0) + t53); + goto **((char **)t54); + +LAB6: if (t38 == t39) + goto LAB7; + +LAB11: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t55 = (t20 + 56U); + t56 = *((char **)t55); + t55 = (t16 + 0U); + t57 = *((int *)t55); + t58 = (t16 + 8U); + t59 = *((int *)t58); + t60 = (t38 - t57); + t61 = (t60 * t59); + t62 = (1U * t61); + t63 = (0 + t62); + t64 = (t56 + t63); + *((unsigned char *)t64) = (unsigned char)2; + goto LAB8; + +LAB10: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 0U); + t12 = *((int *)t8); + t11 = (t16 + 8U); + t14 = *((int *)t11); + t21 = (t38 - t12); + t9 = (t21 * t14); + t13 = (1U * t9); + t15 = (0 + t13); + t17 = (t10 + t15); + *((unsigned char *)t17) = (unsigned char)3; + goto LAB8; + +LAB12:; +} + +char *ieee_p_2592010699_sub_2127936031_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + int t44; + char *t45; + int t46; + int t47; + char *t48; + int t49; + unsigned int t50; + unsigned int t51; + char *t52; + unsigned char t53; + char *t54; + char *t55; + char *t56; + int t57; + char *t58; + int t59; + int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + static char *nl0[] = {&&LAB9, &&LAB10}; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 3912); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t3 + 0); + t43 = (t7 + 0U); + t44 = *((int *)t43); + t45 = (t7 + 8U); + t46 = *((int *)t45); + t47 = (t38 - t44); + t22 = (t47 * t46); + t48 = (t7 + 4U); + t49 = *((int *)t48); + xsi_vhdl_check_range_of_index(t44, t49, t46, t38); + t50 = (1U * t22); + t51 = (0 + t50); + t52 = (t42 + t51); + t53 = *((unsigned char *)t52); + t54 = (char *)((nl0) + t53); + goto **((char **)t54); + +LAB6: if (t38 == t39) + goto LAB7; + +LAB11: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t55 = (t20 + 56U); + t56 = *((char **)t55); + t55 = (t16 + 0U); + t57 = *((int *)t55); + t58 = (t16 + 8U); + t59 = *((int *)t58); + t60 = (t38 - t57); + t61 = (t60 * t59); + t62 = (1U * t61); + t63 = (0 + t62); + t64 = (t56 + t63); + *((unsigned char *)t64) = (unsigned char)2; + goto LAB8; + +LAB10: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 0U); + t12 = *((int *)t8); + t11 = (t16 + 8U); + t14 = *((int *)t11); + t21 = (t38 - t12); + t9 = (t21 * t14); + t13 = (1U * t9); + t15 = (0 + t13); + t17 = (t10 + t15); + *((unsigned char *)t17) = (unsigned char)3; + goto LAB8; + +LAB12:; +} + +unsigned char ieee_p_2592010699_sub_3962699580_503743352(char *t1, unsigned char t2) +{ + char t4[8]; + unsigned char t0; + char *t5; + char *t6; + static char *nl0[] = {&&LAB3, &&LAB4}; + +LAB0: t5 = (t4 + 4U); + *((unsigned char *)t5) = t2; + t6 = (char *)((nl0) + t2); + goto **((char **)t6); + +LAB2: xsi_error(ng4); + t0 = 0; + +LAB1: return t0; +LAB3: t0 = (unsigned char)2; + goto LAB1; + +LAB4: t0 = (unsigned char)3; + goto LAB1; + +LAB5: goto LAB2; + +LAB6: goto LAB2; + +} + +char *ieee_p_2592010699_sub_3349614696_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + char *t44; + int t45; + char *t46; + int t47; + int t48; + char *t49; + int t50; + unsigned int t51; + unsigned int t52; + char *t53; + unsigned char t54; + int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + unsigned char t60; + char *t61; + char *t62; + int t63; + char *t64; + int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 4024); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t1 + 2008U); + t43 = *((char **)t42); + t42 = (t3 + 0); + t44 = (t7 + 0U); + t45 = *((int *)t44); + t46 = (t7 + 8U); + t47 = *((int *)t46); + t48 = (t38 - t45); + t22 = (t48 * t47); + t49 = (t7 + 4U); + t50 = *((int *)t49); + xsi_vhdl_check_range_of_index(t45, t50, t47, t38); + t51 = (1U * t22); + t52 = (0 + t51); + t53 = (t42 + t52); + t54 = *((unsigned char *)t53); + t55 = (t54 - 0); + t56 = (t55 * 1); + xsi_vhdl_check_range_of_index(0, 8, 1, t54); + t57 = (1U * t56); + t58 = (0 + t57); + t59 = (t43 + t58); + t60 = *((unsigned char *)t59); + t61 = (t20 + 56U); + t62 = *((char **)t61); + t61 = (t16 + 0U); + t63 = *((int *)t61); + t64 = (t16 + 8U); + t65 = *((int *)t64); + t66 = (t38 - t63); + t67 = (t66 * t65); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t62 + t69); + *((unsigned char *)t70) = t60; + +LAB6: if (t38 == t39) + goto LAB7; + +LAB8: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB9:; +} + +char *ieee_p_2592010699_sub_2361283723_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + char *t44; + int t45; + char *t46; + int t47; + int t48; + char *t49; + int t50; + unsigned int t51; + unsigned int t52; + char *t53; + unsigned char t54; + int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + unsigned char t60; + char *t61; + char *t62; + int t63; + char *t64; + int t65; + int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 3912); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t1 + 2008U); + t43 = *((char **)t42); + t42 = (t3 + 0); + t44 = (t7 + 0U); + t45 = *((int *)t44); + t46 = (t7 + 8U); + t47 = *((int *)t46); + t48 = (t38 - t45); + t22 = (t48 * t47); + t49 = (t7 + 4U); + t50 = *((int *)t49); + xsi_vhdl_check_range_of_index(t45, t50, t47, t38); + t51 = (1U * t22); + t52 = (0 + t51); + t53 = (t42 + t52); + t54 = *((unsigned char *)t53); + t55 = (t54 - 0); + t56 = (t55 * 1); + t57 = (1U * t56); + t58 = (0 + t57); + t59 = (t43 + t58); + t60 = *((unsigned char *)t59); + t61 = (t20 + 56U); + t62 = *((char **)t61); + t61 = (t16 + 0U); + t63 = *((int *)t61); + t64 = (t16 + 8U); + t65 = *((int *)t64); + t66 = (t38 - t63); + t67 = (t66 * t65); + t68 = (1U * t67); + t69 = (0 + t68); + t70 = (t62 + t69); + *((unsigned char *)t70) = t60; + +LAB6: if (t38 == t39) + goto LAB7; + +LAB8: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB9:; +} + +unsigned char ieee_p_2592010699_sub_3957255360_503743352(char *t1, unsigned char t2) +{ + char t4[8]; + unsigned char t0; + char *t5; + char *t6; + char *t7; + int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned char t12; + +LAB0: t5 = (t4 + 4U); + *((unsigned char *)t5) = t2; + t6 = (t1 + 2008U); + t7 = *((char **)t6); + t8 = (t2 - 0); + t9 = (t8 * 1); + t10 = (1U * t9); + t11 = (0 + t10); + t6 = (t7 + t11); + t12 = *((unsigned char *)t6); + t0 = t12; + +LAB1: return t0; +LAB2:; +} + +char *ieee_p_2592010699_sub_4155981575_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + int t44; + char *t45; + int t46; + int t47; + char *t48; + int t49; + unsigned int t50; + unsigned int t51; + char *t52; + unsigned char t53; + char *t54; + char *t55; + char *t56; + int t57; + char *t58; + int t59; + int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + static char *nl0[] = {&&LAB9, &&LAB10}; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 4024); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t3 + 0); + t43 = (t7 + 0U); + t44 = *((int *)t43); + t45 = (t7 + 8U); + t46 = *((int *)t45); + t47 = (t38 - t44); + t22 = (t47 * t46); + t48 = (t7 + 4U); + t49 = *((int *)t48); + xsi_vhdl_check_range_of_index(t44, t49, t46, t38); + t50 = (1U * t22); + t51 = (0 + t50); + t52 = (t42 + t51); + t53 = *((unsigned char *)t52); + t54 = (char *)((nl0) + t53); + goto **((char **)t54); + +LAB6: if (t38 == t39) + goto LAB7; + +LAB11: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t55 = (t20 + 56U); + t56 = *((char **)t55); + t55 = (t16 + 0U); + t57 = *((int *)t55); + t58 = (t16 + 8U); + t59 = *((int *)t58); + t60 = (t38 - t57); + t61 = (t60 * t59); + t62 = (1U * t61); + t63 = (0 + t62); + t64 = (t56 + t63); + *((unsigned char *)t64) = (unsigned char)2; + goto LAB8; + +LAB10: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 0U); + t12 = *((int *)t8); + t11 = (t16 + 8U); + t14 = *((int *)t11); + t21 = (t38 - t12); + t9 = (t21 * t14); + t13 = (1U * t9); + t15 = (0 + t13); + t17 = (t10 + t15); + *((unsigned char *)t17) = (unsigned char)3; + goto LAB8; + +LAB12:; +} + +char *ieee_p_2592010699_sub_2095800730_503743352(char *t1, char *t2, char *t3, char *t4) +{ + char t5[128]; + char t6[24]; + char t7[16]; + char t16[16]; + char *t0; + char *t8; + unsigned int t9; + char *t10; + char *t11; + int t12; + unsigned int t13; + int t14; + unsigned int t15; + char *t17; + unsigned int t18; + char *t19; + char *t20; + int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + char *t29; + unsigned char t30; + char *t31; + char *t32; + int t33; + char *t34; + int t35; + char *t36; + int t37; + int t38; + int t39; + int t40; + int t41; + char *t42; + char *t43; + int t44; + char *t45; + int t46; + int t47; + char *t48; + int t49; + unsigned int t50; + unsigned int t51; + char *t52; + unsigned char t53; + char *t54; + char *t55; + char *t56; + int t57; + char *t58; + int t59; + int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + static char *nl0[] = {&&LAB9, &&LAB10}; + +LAB0: t8 = (t4 + 12U); + t9 = *((unsigned int *)t8); + t10 = (t7 + 0U); + t11 = (t10 + 0U); + *((int *)t11) = 1; + t11 = (t10 + 4U); + *((unsigned int *)t11) = t9; + t11 = (t10 + 8U); + *((int *)t11) = 1; + t12 = (t9 - 1); + t13 = (t12 * 1); + t13 = (t13 + 1); + t11 = (t10 + 12U); + *((unsigned int *)t11) = t13; + t11 = (t4 + 12U); + t13 = *((unsigned int *)t11); + t14 = (t13 - 1); + t15 = (t14 * 1); + t15 = (t15 + 1); + t15 = (t15 * 1U); + t17 = (t4 + 12U); + t18 = *((unsigned int *)t17); + t19 = (t16 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 1; + t20 = (t19 + 4U); + *((unsigned int *)t20) = t18; + t20 = (t19 + 8U); + *((int *)t20) = 1; + t21 = (t18 - 1); + t22 = (t21 * 1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t5 + 4U); + t23 = (t1 + 3912); + t24 = (t20 + 88U); + *((char **)t24) = t23; + t25 = (char *)alloca(t15); + t26 = (t20 + 56U); + *((char **)t26) = t25; + xsi_type_set_default_value(t23, t25, t16); + t27 = (t20 + 64U); + *((char **)t27) = t16; + t28 = (t20 + 80U); + *((unsigned int *)t28) = t15; + t29 = (t6 + 4U); + t30 = (t3 != 0); + if (t30 == 1) + goto LAB3; + +LAB2: t31 = (t6 + 12U); + *((char **)t31) = t4; + t32 = (t16 + 8U); + t33 = *((int *)t32); + t34 = (t16 + 4U); + t35 = *((int *)t34); + t36 = (t16 + 0U); + t37 = *((int *)t36); + t38 = t37; + t39 = t35; + +LAB4: t40 = (t39 * t33); + t41 = (t38 * t33); + if (t41 <= t40) + goto LAB5; + +LAB7: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 12U); + t9 = *((unsigned int *)t8); + t9 = (t9 * 1U); + t0 = xsi_get_transient_memory(t9); + memcpy(t0, t10, t9); + t11 = (t16 + 0U); + t12 = *((int *)t11); + t17 = (t16 + 4U); + t14 = *((int *)t17); + t19 = (t16 + 8U); + t21 = *((int *)t19); + t23 = (t2 + 0U); + t24 = (t23 + 0U); + *((int *)t24) = t12; + t24 = (t23 + 4U); + *((int *)t24) = t14; + t24 = (t23 + 8U); + *((int *)t24) = t21; + t33 = (t14 - t12); + t13 = (t33 * t21); + t13 = (t13 + 1); + t24 = (t23 + 12U); + *((unsigned int *)t24) = t13; + +LAB1: return t0; +LAB3: *((char **)t29) = t3; + goto LAB2; + +LAB5: t42 = (t3 + 0); + t43 = (t7 + 0U); + t44 = *((int *)t43); + t45 = (t7 + 8U); + t46 = *((int *)t45); + t47 = (t38 - t44); + t22 = (t47 * t46); + t48 = (t7 + 4U); + t49 = *((int *)t48); + xsi_vhdl_check_range_of_index(t44, t49, t46, t38); + t50 = (1U * t22); + t51 = (0 + t50); + t52 = (t42 + t51); + t53 = *((unsigned char *)t52); + t54 = (char *)((nl0) + t53); + goto **((char **)t54); + +LAB6: if (t38 == t39) + goto LAB7; + +LAB11: t12 = (t38 + t33); + t38 = t12; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t55 = (t20 + 56U); + t56 = *((char **)t55); + t55 = (t16 + 0U); + t57 = *((int *)t55); + t58 = (t16 + 8U); + t59 = *((int *)t58); + t60 = (t38 - t57); + t61 = (t60 * t59); + t62 = (1U * t61); + t63 = (0 + t62); + t64 = (t56 + t63); + *((unsigned char *)t64) = (unsigned char)2; + goto LAB8; + +LAB10: t8 = (t20 + 56U); + t10 = *((char **)t8); + t8 = (t16 + 0U); + t12 = *((int *)t8); + t11 = (t16 + 8U); + t14 = *((int *)t11); + t21 = (t38 - t12); + t9 = (t21 * t14); + t13 = (1U * t9); + t15 = (0 + t13); + t17 = (t10 + t15); + *((unsigned char *)t17) = (unsigned char)3; + goto LAB8; + +LAB12:; +} + +unsigned char ieee_p_2592010699_sub_3929590482_503743352(char *t1, unsigned char t2) +{ + char t4[8]; + unsigned char t0; + char *t5; + char *t6; + static char *nl0[] = {&&LAB3, &&LAB4}; + +LAB0: t5 = (t4 + 4U); + *((unsigned char *)t5) = t2; + t6 = (char *)((nl0) + t2); + goto **((char **)t6); + +LAB2: xsi_error(ng5); + t0 = 0; + +LAB1: return t0; +LAB3: t0 = (unsigned char)2; + goto LAB1; + +LAB4: t0 = (unsigned char)3; + goto LAB1; + +LAB5: goto LAB2; + +LAB6: goto LAB2; + +} + +unsigned char ieee_p_2592010699_sub_1744673427_503743352(char *t1, char *t2, unsigned int t3, unsigned int t4) +{ + unsigned char t0; + unsigned char t7; + unsigned char t8; + unsigned char t9; + char *t10; + char *t11; + unsigned char t12; + unsigned char t13; + unsigned char t14; + unsigned char t15; + unsigned char t16; + unsigned char t17; + +LAB0: t9 = xsi_signal_has_event(t2); + if (t9 == 1) + goto LAB5; + +LAB6: t8 = (unsigned char)0; + +LAB7: if (t8 == 1) + goto LAB2; + +LAB3: t7 = (unsigned char)0; + +LAB4: t0 = t7; + +LAB1: return t0; +LAB2: t11 = xsi_signal_last_value(t2); + t15 = *((unsigned char *)t11); + t16 = ieee_p_2592010699_sub_1388759734_503743352(t1, t15); + t17 = (t16 == (unsigned char)2); + t7 = t17; + goto LAB4; + +LAB5: t10 = (t2 + 40U); + t11 = *((char **)t10); + t10 = (t11 + t4); + t12 = *((unsigned char *)t10); + t13 = ieee_p_2592010699_sub_1388759734_503743352(t1, t12); + t14 = (t13 == (unsigned char)3); + t8 = t14; + goto LAB7; + +LAB8:; +} + +unsigned char ieee_p_2592010699_sub_1258338084_503743352(char *t1, char *t2, unsigned int t3, unsigned int t4) +{ + unsigned char t0; + unsigned char t7; + unsigned char t8; + unsigned char t9; + char *t10; + char *t11; + unsigned char t12; + unsigned char t13; + unsigned char t14; + unsigned char t15; + unsigned char t16; + unsigned char t17; + +LAB0: t9 = xsi_signal_has_event(t2); + if (t9 == 1) + goto LAB5; + +LAB6: t8 = (unsigned char)0; + +LAB7: if (t8 == 1) + goto LAB2; + +LAB3: t7 = (unsigned char)0; + +LAB4: t0 = t7; + +LAB1: return t0; +LAB2: t11 = xsi_signal_last_value(t2); + t15 = *((unsigned char *)t11); + t16 = ieee_p_2592010699_sub_1388759734_503743352(t1, t15); + t17 = (t16 == (unsigned char)3); + t7 = t17; + goto LAB4; + +LAB5: t10 = (t2 + 40U); + t11 = *((char **)t10); + t10 = (t11 + t4); + t12 = *((unsigned char *)t10); + t13 = ieee_p_2592010699_sub_1388759734_503743352(t1, t12); + t14 = (t13 == (unsigned char)2); + t8 = t14; + goto LAB7; + +LAB8:; +} + +unsigned char ieee_p_2592010699_sub_1722410384_503743352(char *t1, char *t2, char *t3) +{ + char t5[24]; + unsigned char t0; + char *t6; + unsigned char t7; + char *t8; + char *t9; + int t10; + char *t11; + int t12; + char *t13; + int t14; + int t15; + int t16; + int t17; + int t18; + char *t19; + int t20; + char *t21; + int t22; + int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + char *t27; + unsigned char t28; + char *t29; + static char *nl0[] = {&&LAB9, &&LAB9, &&LAB10, &&LAB10, &&LAB9, &&LAB9, &&LAB10, &&LAB10, &&LAB9}; + +LAB0: t6 = (t5 + 4U); + t7 = (t2 != 0); + if (t7 == 1) + goto LAB3; + +LAB2: t8 = (t5 + 12U); + *((char **)t8) = t3; + t9 = (t3 + 8U); + t10 = *((int *)t9); + t11 = (t3 + 4U); + t12 = *((int *)t11); + t13 = (t3 + 0U); + t14 = *((int *)t13); + t15 = t14; + t16 = t12; + +LAB4: t17 = (t16 * t10); + t18 = (t15 * t10); + if (t18 <= t17) + goto LAB5; + +LAB7: t0 = (unsigned char)0; + +LAB1: return t0; +LAB3: *((char **)t6) = t2; + goto LAB2; + +LAB5: t19 = (t3 + 0U); + t20 = *((int *)t19); + t21 = (t3 + 8U); + t22 = *((int *)t21); + t23 = (t15 - t20); + t24 = (t23 * t22); + t25 = (1U * t24); + t26 = (0 + t25); + t27 = (t2 + t26); + t28 = *((unsigned char *)t27); + t29 = (char *)((nl0) + t28); + goto **((char **)t29); + +LAB6: if (t15 == t16) + goto LAB7; + +LAB12: t12 = (t15 + t10); + t15 = t12; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t0 = (unsigned char)1; + goto LAB1; + +LAB10: goto LAB8; + +LAB11: goto LAB8; + +LAB13:; +} + +unsigned char ieee_p_2592010699_sub_1600845279_503743352(char *t1, char *t2, char *t3) +{ + char t5[24]; + unsigned char t0; + char *t6; + unsigned char t7; + char *t8; + char *t9; + int t10; + char *t11; + int t12; + char *t13; + int t14; + int t15; + int t16; + int t17; + int t18; + char *t19; + int t20; + char *t21; + int t22; + int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + char *t27; + unsigned char t28; + char *t29; + static char *nl0[] = {&&LAB9, &&LAB9, &&LAB10, &&LAB10, &&LAB9, &&LAB9, &&LAB10, &&LAB10, &&LAB9}; + +LAB0: t6 = (t5 + 4U); + t7 = (t2 != 0); + if (t7 == 1) + goto LAB3; + +LAB2: t8 = (t5 + 12U); + *((char **)t8) = t3; + t9 = (t3 + 8U); + t10 = *((int *)t9); + t11 = (t3 + 4U); + t12 = *((int *)t11); + t13 = (t3 + 0U); + t14 = *((int *)t13); + t15 = t14; + t16 = t12; + +LAB4: t17 = (t16 * t10); + t18 = (t15 * t10); + if (t18 <= t17) + goto LAB5; + +LAB7: t0 = (unsigned char)0; + +LAB1: return t0; +LAB3: *((char **)t6) = t2; + goto LAB2; + +LAB5: t19 = (t3 + 0U); + t20 = *((int *)t19); + t21 = (t3 + 8U); + t22 = *((int *)t21); + t23 = (t15 - t20); + t24 = (t23 * t22); + t25 = (1U * t24); + t26 = (0 + t25); + t27 = (t2 + t26); + t28 = *((unsigned char *)t27); + t29 = (char *)((nl0) + t28); + goto **((char **)t29); + +LAB6: if (t15 == t16) + goto LAB7; + +LAB12: t12 = (t15 + t10); + t15 = t12; + goto LAB4; + +LAB8: goto LAB6; + +LAB9: t0 = (unsigned char)1; + goto LAB1; + +LAB10: goto LAB8; + +LAB11: goto LAB8; + +LAB13:; +} + +unsigned char ieee_p_2592010699_sub_1665218837_503743352(char *t1, unsigned char t2) +{ + char t4[8]; + unsigned char t0; + char *t5; + char *t6; + static char *nl0[] = {&&LAB3, &&LAB3, &&LAB4, &&LAB4, &&LAB3, &&LAB3, &&LAB4, &&LAB4, &&LAB3}; + +LAB0: t5 = (t4 + 4U); + *((unsigned char *)t5) = t2; + t6 = (char *)((nl0) + t2); + goto **((char **)t6); + +LAB2: t0 = (unsigned char)0; + +LAB1: return t0; +LAB3: t0 = (unsigned char)1; + goto LAB1; + +LAB4: goto LAB2; + +LAB5: goto LAB2; + +LAB6:; +} + + + + + + + +extern void ieee_p_2592010699_init() +{ + static char *se[] = {(void *)ieee_p_2592010699_sub_3130575329_503743352,(void *)ieee_p_2592010699_sub_1605435078_503743352,(void *)ieee_p_2592010699_sub_313326228_503743352,(void *)ieee_p_2592010699_sub_2545490612_503743352,(void *)ieee_p_2592010699_sub_2115884226_503743352,(void *)ieee_p_2592010699_sub_2507238156_503743352,(void *)ieee_p_2592010699_sub_853553178_503743352,(void *)ieee_p_2592010699_sub_1690584930_503743352,(void *)ieee_p_2592010699_sub_795620321_503743352,(void *)ieee_p_2592010699_sub_3719728556_503743352,(void *)ieee_p_2592010699_sub_3798478767_503743352,(void *)ieee_p_2592010699_sub_2427619706_503743352,(void *)ieee_p_2592010699_sub_1735675855_503743352,(void *)ieee_p_2592010699_sub_364816794_503743352,(void *)ieee_p_2592010699_sub_1306069469_503743352,(void *)ieee_p_2592010699_sub_4230177704_503743352,(void *)ieee_p_2592010699_sub_1697423399_503743352,(void *)ieee_p_2592010699_sub_326564338_503743352,(void *)ieee_p_2592010699_sub_43738421_503743352,(void *)ieee_p_2592010699_sub_2967846656_503743352,(void *)ieee_p_2592010699_sub_1837678034_503743352,(void *)ieee_p_2592010699_sub_3946049995_503743352,(void *)ieee_p_2592010699_sub_1594292773_503743352,(void *)ieee_p_2592010699_sub_3293060193_503743352,(void *)ieee_p_2592010699_sub_3680442889_503743352,(void *)ieee_p_2592010699_sub_1118889809_503743352,(void *)ieee_p_2592010699_sub_393209765_503743352,(void *)ieee_p_2592010699_sub_3879918230_503743352,(void *)ieee_p_2592010699_sub_2063748877_503743352,(void *)ieee_p_2592010699_sub_2331123822_503743352,(void *)ieee_p_2592010699_sub_3262517747_503743352,(void *)ieee_p_2592010699_sub_2274186774_503743352,(void *)ieee_p_2592010699_sub_1388759734_503743352,(void *)ieee_p_2592010699_sub_4068884626_503743352,(void *)ieee_p_2592010699_sub_2008703781_503743352,(void *)ieee_p_2592010699_sub_1361094856_503743352,(void *)ieee_p_2592010699_sub_3381749997_503743352,(void *)ieee_p_2592010699_sub_2393419024_503743352,(void *)ieee_p_2592010699_sub_3990364458_503743352,(void *)ieee_p_2592010699_sub_4188116876_503743352,(void *)ieee_p_2592010699_sub_2127936031_503743352,(void *)ieee_p_2592010699_sub_3962699580_503743352,(void *)ieee_p_2592010699_sub_3349614696_503743352,(void *)ieee_p_2592010699_sub_2361283723_503743352,(void *)ieee_p_2592010699_sub_3957255360_503743352,(void *)ieee_p_2592010699_sub_4155981575_503743352,(void *)ieee_p_2592010699_sub_2095800730_503743352,(void *)ieee_p_2592010699_sub_3929590482_503743352,(void *)ieee_p_2592010699_sub_1744673427_503743352,(void *)ieee_p_2592010699_sub_1258338084_503743352,(void *)ieee_p_2592010699_sub_1722410384_503743352,(void *)ieee_p_2592010699_sub_1600845279_503743352,(void *)ieee_p_2592010699_sub_1665218837_503743352}; + xsi_register_didat("ieee_p_2592010699", "isim/precompiled.exe.sim/ieee/p_2592010699.didat"); + xsi_register_subprogram_executes(se); + xsi_register_resolution_function(2, 0, (void *)ieee_p_2592010699_sub_3130575329_503743352, 4); + xsi_register_resolution_function(3, 0, (void *)ieee_p_2592010699_sub_3130575329_503743352, 4); + xsi_register_resolution_function(4, 0, (void *)ieee_p_2592010699_sub_3130575329_503743352, 4); + xsi_register_resolution_function(5, 0, (void *)ieee_p_2592010699_sub_3130575329_503743352, 4); + xsi_register_resolution_function(6, 0, (void *)ieee_p_2592010699_sub_3130575329_503743352, 4); +} Index: uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.nt64.obj =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.nt64.obj =================================================================== --- uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.nt64.obj (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.nt64.obj (revision 2)
uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.nt64.obj Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.didat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.didat =================================================================== --- uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.didat (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.didat (revision 2)
uart_block/trunk/hdl/iseProject/isim/precompiled.exe.sim/ieee/p_2592010699.didat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/fuse.xmsgs =================================================================== --- uart_block/trunk/hdl/iseProject/fuse.xmsgs (nonexistent) +++ uart_block/trunk/hdl/iseProject/fuse.xmsgs (revision 2) @@ -0,0 +1,9 @@ + + + + + Index: uart_block/trunk/hdl/iseProject/xilinxsim.ini =================================================================== --- uart_block/trunk/hdl/iseProject/xilinxsim.ini (nonexistent) +++ uart_block/trunk/hdl/iseProject/xilinxsim.ini (revision 2) @@ -0,0 +1 @@ +work=isim/work Index: uart_block/trunk/hdl/iseProject/isim.cmd =================================================================== --- uart_block/trunk/hdl/iseProject/isim.cmd (nonexistent) +++ uart_block/trunk/hdl/iseProject/isim.cmd (revision 2) @@ -0,0 +1,3 @@ +onerror {resume} +wave add / +run 1000 us; Index: uart_block/trunk/hdl/iseProject/serial_transmitter.prj =================================================================== --- uart_block/trunk/hdl/iseProject/serial_transmitter.prj (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_transmitter.prj (revision 2) @@ -0,0 +1,2 @@ +vhdl work "pkgDefinitions.vhd" +vhdl work "serial_transmitter.vhd" Index: uart_block/trunk/hdl/iseProject/serial_transmitter_xst.xrpt =================================================================== --- uart_block/trunk/hdl/iseProject/serial_transmitter_xst.xrpt (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_transmitter_xst.xrpt (revision 2) @@ -0,0 +1,176 @@ + + + + + + +
+
ISim Statistics
Xilinx HDL Libraries Used=ieee
Fuse Resource Usage=265 ms, 29424 KB
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+ +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ +
+
+ + + +
+
+
+
+
+
+
+
+ + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + +
+
+
+ + + + + + + +
+
+
+
+
+ + + +
+ + + Index: uart_block/trunk/hdl/iseProject/serial_receiver.cmd_log =================================================================== --- uart_block/trunk/hdl/iseProject/serial_receiver.cmd_log (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_receiver.cmd_log (revision 2) @@ -0,0 +1,10 @@ +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_receiver.xst" -ofn "E:/uart_block/hdl/iseProject/serial_receiver.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_receiver.xst" -ofn "E:/uart_block/hdl/iseProject/serial_receiver.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_receiver.xst" -ofn "E:/uart_block/hdl/iseProject/serial_receiver.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_receiver.xst" -ofn "E:/uart_block/hdl/iseProject/serial_receiver.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_receiver.xst" -ofn "E:/uart_block/hdl/iseProject/serial_receiver.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_receiver.xst" -ofn "E:/uart_block/hdl/iseProject/serial_receiver.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_receiver.xst" -ofn "E:/uart_block/hdl/iseProject/serial_receiver.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_receiver.xst" -ofn "E:/uart_block/hdl/iseProject/serial_receiver.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_receiver.xst" -ofn "E:/uart_block/hdl/iseProject/serial_receiver.syr" +xst -intstyle ise -ifn "E:/uart_block/hdl/iseProject/serial_receiver.xst" -ofn "E:/uart_block/hdl/iseProject/serial_receiver.syr" Index: uart_block/trunk/hdl/iseProject/serial_transmitter_envsettings.html =================================================================== --- uart_block/trunk/hdl/iseProject/serial_transmitter_envsettings.html (nonexistent) +++ uart_block/trunk/hdl/iseProject/serial_transmitter_envsettings.html (revision 2) @@ -0,0 +1,389 @@ +Xilinx System Settings Report + +
System Settings

+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Environment Settings
Environment Variablexstngdbuildmappar
PATHEXT.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
< data not available >< data not available >< data not available >
Pathe:\Xilinx\13.4\ISE_DS\ISE\\lib\nt64;
e:\Xilinx\13.4\ISE_DS\ISE\\bin\nt64;
E:\Xilinx\13.4\ISE_DS\PlanAhead\bin;
E:\Xilinx\13.4\ISE_DS\ISE\bin\nt64;
E:\Xilinx\13.4\ISE_DS\ISE\lib\nt64;
E:\Xilinx\13.4\ISE_DS\EDK\bin\nt64;
E:\Xilinx\13.4\ISE_DS\EDK\lib\nt64;
E:\Xilinx\13.4\ISE_DS\EDK\gnu\microblaze\nt64\bin;
E:\Xilinx\13.4\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;
E:\Xilinx\13.4\ISE_DS\EDK\gnuwin\bin;
E:\Xilinx\13.4\ISE_DS\common\bin\nt64;
E:\Xilinx\13.4\ISE_DS\common\lib\nt64;
C:\Program Files\Common Files\Microsoft Shared\Windows Live;
C:\Program Files (x86)\Common Files\Microsoft Shared\Windows Live;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files\Intel\DMIX;
C:\Program Files (x86)\Windows Live\Shared;
C:\Program Files (x86)\Autodesk\Backburner\;
C:\Program Files (x86)\QuickTime\QTSystem\;
E:\Matlab12a\runtime\win64;
E:\Matlab12a\bin;
E:\Matlab\runtime\win64;
E:\Matlab\bin;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files\doxygen\bin
< data not available >< data not available >< data not available >
XILINXe:\Xilinx\13.4\ISE_DS\ISE\< data not available >< data not available >< data not available >
XILINX_DSPE:\Xilinx\13.4\ISE_DS\ISE< data not available >< data not available >< data not available >
XILINX_EDKE:\Xilinx\13.4\ISE_DS\EDK< data not available >< data not available >< data not available >
XILINX_FOR_ALTIUM_OVERRIDE < data not available >< data not available >< data not available >
XILINX_PLANAHEADE:\Xilinx\13.4\ISE_DS\PlanAhead< data not available >< data not available >< data not available >
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn serial_transmitter.prj 
-ifmt mixedMIXED
-ofn serial_transmitter 
-ofmt NGCNGC
-p xc3s500e-4-fg320 
-top serial_transmitter 
-opt_modeOptimization GoalSpeedSPEED
-opt_levelOptimization Effort11
-iucUse synthesis Constraints FileNONO
-keep_hierarchyKeep HierarchyNoNO
-netlist_hierarchyNetlist HierarchyAs_Optimizedas_optimized
-rtlviewGenerate RTL SchematicYesNO
-glob_optGlobal Optimization GoalAllClockNetsALLCLOCKNETS
-read_coresRead CoresYESYES
-write_timing_constraintsWrite Timing ConstraintsNONO
-cross_clock_analysisCross Clock AnalysisNONO
-bus_delimiterBus Delimiter<><>
-slice_utilization_ratioSlice Utilization Ratio100100%
-bram_utilization_ratioBRAM Utilization Ratio100100%
-verilog2001Verilog 2001YESYES
-fsm_extract YESYES
-fsm_encoding AutoAUTO
-safe_implementation NoNO
-fsm_style LUTLUT
-ram_extract YesYES
-ram_style AutoAUTO
-rom_extract YesYES
-shreg_extract YESYES
-rom_style AutoAUTO
-auto_bram_packing NONO
-resource_sharing YESYES
-async_to_sync NONO
-mult_style AutoAUTO
-iobuf YESYES
-max_fanout 100000500
-bufg 2424
-register_duplication YESYES
-register_balancing NoNO
-optimize_primitives NONO
-use_clock_enable YesYES
-use_sync_set YesYES
-use_sync_reset YesYES
-iob AutoAUTO
-equivalent_register_removal YESYES
-slice_utilization_ratio_maxmargin 50%
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Operating System Information
Operating System Informationxstngdbuildmappar
CPU Architecture/SpeedIntel(R) Core(TM) i7-2600K CPU @ 3.40GHz/3502 MHz<  data not available  ><  data not available  ><  data not available  >
HostLeonardo-PC<  data not available  ><  data not available  ><  data not available  >
OS NameMicrosoft Windows 7 , 64-bit<  data not available  ><  data not available  ><  data not available  >
OS ReleaseService Pack 1 (build 7601)<  data not available  ><  data not available  ><  data not available  >
+ \ No newline at end of file Index: uart_block/trunk/hdl/iseProject/pepExtractor.prj =================================================================== --- uart_block/trunk/hdl/iseProject/pepExtractor.prj (nonexistent) +++ uart_block/trunk/hdl/iseProject/pepExtractor.prj (revision 2) @@ -0,0 +1,2 @@ +work "pkgDefinitions.vhd" +work "serial_receiver.vhd" Index: uart_block/trunk/hdl/iseProject/xst/work/hdpdeps.ref =================================================================== --- uart_block/trunk/hdl/iseProject/xst/work/hdpdeps.ref (nonexistent) +++ uart_block/trunk/hdl/iseProject/xst/work/hdpdeps.ref (revision 2) @@ -0,0 +1,19 @@ +V3 9 +FL E:/uart_block/hdl/iseProject/pkgDefinitions.vhd 2012/04/21.12:02:35 O.87xd +PH work/pkgDefinitions 1335003186 \ + FL E:/uart_block/hdl/iseProject/pkgDefinitions.vhd PB ieee/std_logic_1164 1325952872 +PB work/pkgDefinitions 1335003187 \ + FL E:/uart_block/hdl/iseProject/pkgDefinitions.vhd PH work/pkgDefinitions 1335003186 +FL E:/uart_block/hdl/iseProject/serial_receiver.vhd 2012/04/21.12:13:03 O.87xd +EN work/serial_receiver 1335003188 \ + FL E:/uart_block/hdl/iseProject/serial_receiver.vhd PB ieee/std_logic_1164 1325952872 \ + PB work/pkgDefinitions 1335003187 +AR work/serial_receiver/Behavioral 1335003189 \ + FL E:/uart_block/hdl/iseProject/serial_receiver.vhd EN work/serial_receiver 1335003188 +FL E:/uart_block/hdl/iseProject/serial_transmitter.vhd 2012/04/21.09:27:16 O.87xd +EN work/serial_transmitter 1335001307 \ + FL E:/uart_block/hdl/iseProject/serial_transmitter.vhd \ + PB ieee/std_logic_1164 1325952872 PB work/pkgDefinitions 1335003187 +AR work/serial_transmitter/Behavioral 1335001308 \ + FL E:/uart_block/hdl/iseProject/serial_transmitter.vhd \ + EN work/serial_transmitter 1335001307 Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl00.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl00.vho =================================================================== --- uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl00.vho (nonexistent) +++ uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl00.vho (revision 2)
uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl00.vho Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho =================================================================== --- uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho (nonexistent) +++ uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho (revision 2)
uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl02.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl02.vho =================================================================== --- uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl02.vho (nonexistent) +++ uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl02.vho (revision 2)
uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl02.vho Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl03.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl03.vho =================================================================== --- uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl03.vho (nonexistent) +++ uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl03.vho (revision 2)
uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl03.vho Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl04.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl04.vho =================================================================== --- uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl04.vho (nonexistent) +++ uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl04.vho (revision 2)
uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl04.vho Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl05.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl05.vho =================================================================== --- uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl05.vho (nonexistent) +++ uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl05.vho (revision 2)
uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl05.vho Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_block/trunk/hdl/iseProject/xst/work/hdllib.ref =================================================================== --- uart_block/trunk/hdl/iseProject/xst/work/hdllib.ref (nonexistent) +++ uart_block/trunk/hdl/iseProject/xst/work/hdllib.ref (revision 2) @@ -0,0 +1,6 @@ +PB pkgdefinitions pkgdefinitions E:/uart_block/hdl/iseProject/pkgDefinitions.vhd sub00/vhpl01 1335003187 +EN serial_receiver NULL E:/uart_block/hdl/iseProject/serial_receiver.vhd sub00/vhpl04 1335003188 +AR serial_transmitter behavioral E:/uart_block/hdl/iseProject/serial_transmitter.vhd sub00/vhpl03 1335001308 +EN serial_transmitter NULL E:/uart_block/hdl/iseProject/serial_transmitter.vhd sub00/vhpl02 1335001307 +PH pkgdefinitions NULL E:/uart_block/hdl/iseProject/pkgDefinitions.vhd sub00/vhpl00 1335003186 +AR serial_receiver behavioral E:/uart_block/hdl/iseProject/serial_receiver.vhd sub00/vhpl05 1335003189 Index: uart_block/trunk/hdl/iseProject/iseProject.xise =================================================================== --- uart_block/trunk/hdl/iseProject/iseProject.xise (nonexistent) +++ uart_block/trunk/hdl/iseProject/iseProject.xise (revision 2) @@ -0,0 +1,360 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.