OpenCores
URL https://opencores.org/ocsvn/uart_plb/uart_plb/trunk

Subversion Repositories uart_plb

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 1 to Rev 2
    Reverse comparison

Rev 1 → Rev 2

/uart_plb/trunk/pcores/uart_plb.prj
0,0 → 1,187
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/family.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/family_support.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/coregen_comp_defs.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/common_types_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/proc_common_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/conv_funs_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/async_fifo_fg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/sync_fifo_fg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/blk_mem_gen_wrapper.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/addsub.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter_bit.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/direct_path_cntr.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/direct_path_cntr_ai.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/down_counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/eval_timer.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/inferred_lut4.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_steer.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_steer128.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_mirror128.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ld_arith_reg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ld_arith_reg2.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/mux_onehot.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_bits.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_muxcy.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate128.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_adder_bit.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_adder.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_counter_bit.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_counter_top.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_occ_counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_occ_counter_top.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_dpram_select.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect_mask.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl16_fifo.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo2.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo3.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo_rbu.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/valid_be.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_with_enable_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/muxf_struct_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/cntr_incr_decr_addn_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/dynshreg_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/dynshreg_i_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/mux_onehot_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo_rbu_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/compare_vectors_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_muxcy_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/soft_reset.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/family.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/family_support.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/coregen_comp_defs.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/common_types_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/proc_common_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/conv_funs_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/async_fifo_fg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/sync_fifo_fg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/blk_mem_gen_wrapper.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/addsub.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter_bit.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/direct_path_cntr.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/direct_path_cntr_ai.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/down_counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/eval_timer.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/inferred_lut4.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_steer.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_steer128.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_mirror128.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ld_arith_reg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ld_arith_reg2.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/mux_onehot.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_bits.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_muxcy.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate128.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_adder_bit.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_adder.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_counter_bit.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_counter_top.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_occ_counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_occ_counter_top.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_dpram_select.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect_mask.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl16_fifo.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo2.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo3.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo_rbu.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/valid_be.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_with_enable_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/muxf_struct_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/cntr_incr_decr_addn_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/dynshreg_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/dynshreg_i_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/mux_onehot_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo_rbu_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/compare_vectors_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_muxcy_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/soft_reset.vhd"
vhdl plbv46_slave_single_v1_01_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1_01_a/hdl/vhdl/plb_address_decoder.vhd"
vhdl plbv46_slave_single_v1_01_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1_01_a/hdl/vhdl/plb_slave_attachment.vhd"
vhdl plbv46_slave_single_v1_01_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1_01_a/hdl/vhdl/plbv46_slave_single.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/family.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/family_support.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/coregen_comp_defs.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/common_types_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/proc_common_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/conv_funs_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_pkg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/async_fifo_fg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/sync_fifo_fg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/blk_mem_gen_wrapper.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/addsub.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter_bit.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/direct_path_cntr.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/direct_path_cntr_ai.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/down_counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/eval_timer.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/inferred_lut4.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_steer.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_steer128.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_mirror128.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ld_arith_reg.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ld_arith_reg2.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/mux_onehot.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_bits.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_muxcy.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate128.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_adder_bit.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_adder.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_counter_bit.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_counter_top.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_occ_counter.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_occ_counter_top.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pf_dpram_select.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect_mask.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl16_fifo.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo2.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo3.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo_rbu.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/valid_be.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_with_enable_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/muxf_struct_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/cntr_incr_decr_addn_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/dynshreg_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/dynshreg_i_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/mux_onehot_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo_rbu_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/srl_fifo_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/compare_vectors_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_muxcy_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate_f.vhd"
vhdl proc_common_v3_00_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/soft_reset.vhd"
vhdl interrupt_control_v2_01_a "C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_01_a/hdl/vhdl/interrupt_control.vhd"
vhdl uart_plb_v1_00_a "C:\uart_plb\pcores\uart_plb_v1_00_a\hdl\vhdl\uart_components.vhd"
vhdl uart_plb_v1_00_a "C:\uart_plb\pcores\uart_plb_v1_00_a\hdl\vhdl\baud.vhd"
vhdl uart_plb_v1_00_a "C:\uart_plb\pcores\uart_plb_v1_00_a\hdl\vhdl\tmo.vhd"
vhdl uart_plb_v1_00_a "C:\uart_plb\pcores\uart_plb_v1_00_a\hdl\vhdl\fifo_generator_v8_1_8x16.vhd"
vhdl uart_plb_v1_00_a "C:\uart_plb\pcores\uart_plb_v1_00_a\hdl\vhdl\rx.vhd"
vhdl uart_plb_v1_00_a "C:\uart_plb\pcores\uart_plb_v1_00_a\hdl\vhdl\tx.vhd"
vhdl uart_plb_v1_00_a "C:\uart_plb\pcores\uart_plb_v1_00_a\hdl\vhdl\uart.vhd"
vhdl uart_plb_v1_00_a "C:\uart_plb\pcores\uart_plb_v1_00_a\hdl\vhdl\user_logic.vhd"
vhdl uart_plb_v1_00_a "C:\uart_plb\pcores\uart_plb_v1_00_a\hdl\vhdl\uart_plb.vhd"
/uart_plb/trunk/pcores/uart_plb_v1_00_a/netlist/fifo_generator_v8_1_8x16.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$31744<,[o}e~g`n;"2*736(-;0<45?01234567<91;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:?7=>705934F25398?7=O?6593G52638>0=9:;1:026>552@D[YY4KI@>05?699;18>7GAPTV9@LD;;80;2<=4338LQQVR\3NDM1=>:1<27>552F__\XZ5DN@?74<76=18OOKj;2q2256bnn99< :>1:69MKVR\3NB\L2<:1<25>2=AGZ^X7JFPC>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>59640233<?;8<594:4EBC7<?=1<NIK=;927?=478=138??>0::9MKVR\3nbb1950?31?=<H]]Z^X7j`uu>4>58630>05=>6139:>LHW]]0JHI\N<983:44<13CE\XZ5AEFQF9>=87>0ML<N4:CBE=><IMNYM1>18:CG@WG;9720MIJ]A=0=<>GCL[K7?364AEFQE92902KOH_O35?:8EABUI5<546OKDSC?3;g<IMNYM1650?:8EABUI52546OKDS@?4;><IMNYN1?18:CG@WD;:720MIJ]B=1=<>GCL[H78364AEFQF93902KOH_L36?:8EABUJ5=5m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n5BakmqR`ttafdh7@gaosTfvvohf;1E<>5A1118J4443G;??6@>629M5=5<F;:87C<>3:L176=I:<90B?9<;O0:7>H49:1E?>=4N270?K5?;2D85>5A4008J01<FL^\C_E>;N58K+27lVF?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;Pg8VDKE9?UX?;Q\37c8V@GCWOCY_Y?<;RKN[FIKD@YBCCQLHDAH2>UH][IN;6]]V@N\E2=TZ_KGSO:4SRPB0>UTZK>0XT^J549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-u5969=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%}=1?15e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-u5Z6Xign;<=>>5e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-u5Z7Xign;<=>>589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} r`o\e`kw|pUb:<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$~lcPadosp|YnWds<=>>659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} r`o\e`kw|pUbS`{w012255?1;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)uidUji`~{y^k\ip~789;:=;=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#ob_`gntqXaVg~t=>?14657>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-qehYfmdzuRgPmtz3457?9?90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'{kfSlkbpu{\mZkrp9:;=4897:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!}al]bahvsqVcTaxv?013:2ZUP8<h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'{kfShctx]efZo1;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)uidUna}zv_g`\mZkrp9:;<;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#ob_dosp|YajVcTaxv?012253>1j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)uidUna}zv_g`\mZkrp9:;<<?98^QT43d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+wgjWlg{xtQib^k\ip~789::=;6PSV35f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-qehYbey~rSklPi^ov|56788;=4R]X27;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/scn[`kw|pUmnRgPmtz34566=VY\<;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#ob_dosp|YajVcTaxv?01221ZUP9??0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'{kfShctx]efZoXe|r;<=>=1768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/scn[`kw|pUmnRgPmtz34560=11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(zhgTi`~{y^k7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{kfSjPeo]j503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/LalqkrXkfex884U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'DidyczPcnwmp4313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~by<:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%Fob{at^alqkr4=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,Ifirf}Uhcx`{_mww8782l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Ngjsi|VidyczPltv?6;72k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Ngjsi|VidyczPltv?7;3c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~byQcuu>0:43b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~byQwos>3:472m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Ngjsi|VidyczPxnp?5;76=l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,Ifirf}Uhcx`{_ymq87869<n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+Heh}g~Tob{at^zlv9599?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+Heh}g~Tob{at^zlv9599VY\<8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'je~by2?>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#naznu>2:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/bmvjq:56<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+firf}6828>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'je~byQ?519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$ob{at^364>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw[7373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.alqkrX;<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+firf}Uo=1>1559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$ob{at^f28482<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-`kphsWm;7>3;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idyczPd0>0:05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/bmvjqYc9V:>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dSi?P1418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#naznu]g5Z42;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-`kphsWm;T?8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'je~byQk1^ov|56785859i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexRj>_lw{45674;4:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexRj>_lw{45674:4>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dSi?Pmtz3456;;7;>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dSi<30?77?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"m`uov\`7:66<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+firf}Uo>1<1559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$ob{at^f18682;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-`kphsWm8T<8=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'je~byQk2^367>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw[a4X:<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+firf}Uo>R=:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{_e0\ip~789:7<3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idyczPd3]nq}67896;2<;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idyczPd3]nq}67896:28j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'je~byQk2^ov|56785;5=8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'je~byQk2^ov|56785859i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexRj=_lw{45674;4:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexRj=_lw{45674:4>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dSi<Pmtz3456;;7;>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dSca{012212=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| cnwmpZhh|9:;=<;j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/w3\4Zgil9:;<<?:e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.t2[5Yffm:;<=<>599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} r`o\ecskdVc=<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%ym`Qnftno[lYj}q:;<<88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"|nm^ceqijXaVg~t=>?1027[VQ7>;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(zhgTmk{cl^k\ip~789;:=;84U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_`dvhiYnWds<=>>10]PS5043\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*tfeVkmyabPi^ov|5679898:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$~lcPagwohZoXe|r;<=?=5708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/scn[d`rdeUbS`{w012204053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*tfeVkmyabPi^ov|5679?8=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%ym`Qnftno[lYj}q:;<<665`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} r`o\bpjkWohTe894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZo2n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)uidUmyabPi^ov|5678??0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'{kfSk{cl^k\ip~789:::R]X0778Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/scn[cskdVcTaxv?01222ZUP9?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'{kfSk{cl^k\ip~789:::R]X1^QT437<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+wgjWog`RgPmtz34564;?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'{kfSk{cl^k\ip~789:>8k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.pbiZquWldTe<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&Ghcx`{_bmvjq313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.O`kphsWje~by?:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkr5=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,Ifirf}Uhcx`{34a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@m`uov\gjsi|Vf~x1<15e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWe0?0>5b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWe0>0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXd|~7?3?:e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXpfx7<3?>5d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWqey0<0>14g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1<1107g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Clotlw[firf}Usc2<>042?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Clotlw[firf}Usc2<>0]PS5363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkr;87?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~7=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idycz32?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov?7;373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrX8<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}U:9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(kfexR<:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%hcx`{_277?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov\`4:76<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}Uo=1?1559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^f28782<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-`kphsWm;7?3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idyczPd0]316=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZb6W8?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~Th<Q=529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^f2[63d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrXl8Ufyu>?01>1:0b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/bmvjqYc9Vg~t=>?0=0=50e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/bmvjqYc9Vg~t=>?0=1=1a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZb6Wds<=>?<2<211=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZb5494>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)dg|dSi<31?77?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov\`7:56<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}Uo>1=1529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^f1[5343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrXl;U:9>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(kfexRj=_370?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov\`7Y4=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,gjsi|Vn9S`{w01238582l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-`kphsWm8Taxv?012?4;72k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-`kphsWm8Taxv?012?5;3c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrXl;Ufyu>?01>2:43d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrXl;Ufyu>?01>1:0b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/bmvjqYc:Vg~t=>?0=0=50e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/bmvjqYc:Vg~t=>?0=1=1a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZb5Wds<=>?<2<213=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZhh|9:;=894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'je~byQaou23447f>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$hy| r`ookjv\8T$ym` }/r1\jjoia}$ym`!kpscn*av4>q9="ob4e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-wiuYuidUyhRka4d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-wiuYuidUyhRka1218Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"|nm^pg[`h4;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vdkX{Unbn5ZSDP\RLUNJEO27[GJW^VZT@5<_LK87ZKMc:UQMQCXEFNNSLm4WSKWAZKHLLUI=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB:6V\TMKA3>^T\VMEHo5W_BMQAZOINF<0TilPIed8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sym4amolwqYbey~rn6ocmnqw[cskd:1h`f84dhl?4;0<l`d7=384dhl?6;0<l`d7?384dhl?0;0<l`d79384dhl?2;><l`d7;7>16:fjj919?2ndyy2?>69gkpr;97=0hb{{<3<4?air|595;6j`uu>7:2=cg|~79394dnww838>3me~x1950?58`jss4>4>7hctx0e?coagVmnbh|ntnp\r=Y6$)Rb`d`w BMQA%Abflxjxb|/12,25==aaoeTkh`jr`vlvZp?W8U3t<8<6:djbjY`mgoymya}_w:\5Z>9?&mekaPgdlfvdrhzV|3S<"AOOG/JJHB99k:7kgio^efj`tf|fxTz5Q>_9z22)`nnfUlick}aumq[s>X9%qhSljk_oe`[fii{}xjecz20-a\euvkajkeb`Ptxrf[d~n{4:'oRopmk`eqohfV~r|hQ}abj>4)eXiyzgeno{inl\p|vbW{nhd0>#c^cstiodi}cdbRzvpd]tefn:8%iTm}~cibcwmjhX|pznSzjlh<2/gZgwxechmyg`n^vzt`Ypzjb6<!mPayk\eabuW~coxe3<2-a\e}oXi{xiQxievk94*dWhrbSlyzsdp\slbs`4;'oRowi^`vw`tX`nd0?#c^c{mZbf|hU|eizg=76/gZgaVcoSzgkti?7(fYfp`Uyy~k}_vkgpm;6$jUjtdQ{yqg>4)eXiqcT{x}jr^uj`qn:9%iTmug|_ufbpdYpam~c1;:#c^c{mvYsllySzgkti?7(fYfp`yTxdjPwhfwl8>+kVkse~Q{kdgs[roc|a7? nQnxhq\pvrujV}bhyf24-a\e}otW}xiQxievk91*dWhrbRzvpd?3(fYdgdgdbRmcobi>5)eXkfexRzvpd?3(fYci}kTob{at^uj`qn:<%iThhhnumv\rdjrm4Hgmce\tskmc)eXl`dT{dj{h<:/gZbnfV}bhyfPaykp94*dWmceSzgkti]qefn:;;&hSiga_vkgpmYuljb6:9"l_ekm[roc|aU|mnf233.`[aoiW~coxeQxdbj>21*dWmceSzgkti]tvfn::%iThb{{_sqw[sgk59&hSiazt^uj`qn:0%iTicomld]qhjet59&hSh`nbmg\vvrX{pdh1<"l_dpqkwYnfcohxdaa_u{sa86+kVoy~b|PiohfgqohfV~r|hQnxhq>4)eXm{xd~RgajdawmjhX|pznSolh<2/gZcuzfxTecdjcukljZr~xlUyhnf20-a\awthzVcefhm{inl\p|vbW~khd0>#c^gqvjtXag`noyg`n^vzt`Ypljb6<!mPesplvZoiblieb`Ptxrf[rtd`4:'oRhnmhnz9vvfz}ke?!mPftno[cjfozUyyQyam?3(fYoizUj``a|t^gntq:9%iTdl}PamolwqYa}ef6=!mPh`q\e}oXi{xi3?,b]kevYfp`Uj{x}jr<2/gZnf{VkseRlzsdp>4)eX`hyTmugPre]`ldhime7; nQgar]b|lYu}zoy1="l_icp[d~nW~xToeoandn>4)eX`hyTmugPwtqfv86+kVbjRowir]w`drf59&hSeo|_`zjwZrcmz~6<!mPh`q\e}otW}co1="l_icp[d~n{V~`ih~20-a\lduXiqcxSybnsu?3(fYoizUjtd}Ptsgb`|;6$jUcm~Qnxhq\pvruj4:'oRfns^c{mvYs}zoy1="l_icp[ggdc|z6<!mPh`q\`drfWje~by3?,b]kevYci}kTob{atr]b|lu:8%iTdl}Pd`vb[firf}yT~lmg=1.`[mgtWmkmRm`uovp[wbd`4:'oRfns^fbpdYdg|dRynci?3(fYoizUomyoPcnwmpvYpljb6<!mPh`q\`drfWje~by}Pwsak95*dWakxSd`{_bnh95*dWakxS`o|tdp\g`;7$jUcm~QbelkmmqYaaeo6<!mPh`q\kscunee|1="l_icp[uthoVlgmj}Paykp95*dWakxS}|`g^doebuXzhic1="l_icp[uthoVlgmj}Preak95*dWakxS}|`g^doebuXhic1="l_icp[uthoVlgmj}Pweak95*dWakxS}|`g^doebuX{ic1="l_icp[wbXlh~jSnaznu?3(fYoizUyhR||t<2/gZnf{Vxxx0>#c^jbwZukioToh3?,b]kevYtzz~6=!mPh`q\qkbbzofd{0>#c^jbwZpfd`n6<!mPh`q\swYfkb7; nQgar]tvZbf|hUhcx`{=1.`[mgtW~xT~~z20-a\mhvkmdoexlzfoo]w}uc:8%iTe`~celgmpdrnggUu}kPaykp94*dW`g{`hcjnucwmjhX|pznSolh<3/gZojxeoficznthmm[qwmVxooe3>,b]jiujbeldmyg`n^vzt`Ypijb6=!mPilroahci|h~bccQ{yqg\saeo58&hSdcldofjqgsafdTxt~j_vp`l87+kVceeyQxr^rmpwYqie7; nQfnugqbdebW}s{i0>#c^ofijt~W}s{i0?#c^ojbZ`ndlUem`k2BmcmoVruagm'oRc|gnl\rdj:9%iTc}zfmhxbpliiWdeoi0>#c^muaw`kg~Ugcz3?,b]sv`jhimUgmykacx?2(fYwzlfdmiQ}efq>4)eXx{cfSkgio^vzt`;29;r8:!mPpsmd[`kw|pUdk|h^cpw`tsWkg1?"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`Rowir?2474+kVzycjQjmqvz[qnumzbTm~}jru]ueiYuijb6==<=,b]svjaXmdzuRzgrdqk[dutm{~TzlbPreak9465:%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkW~khd0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^uggm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieU|~nf21101(fYwzfmTi`~{y^vkv`uoWgolmykPv`n>7)eXx{elShctx]w}uc:8%iT|ah_dosp|YsqyoTmug|=4.`[uthoVof|ywPtxrf[wgd`4?'oR~}of]fiur~W}s{iR|kci?6(fYwzfmTi`~{y^vzt`Ypijb69!mPpsmd[`kw|pUu}kPweak90*dWyxdkRkbpu{\p|vbW~xhd0;#c^rqkbYa}efTxe|jsi]bwvcu|V|j`0?;,b]svjaXn|fgSyf}erj\evubz}U}maQnxhq>5544$jU{~biPftno[qnumzbTm~}jru]ueiYuijb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQ}dbj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpijb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxdbj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpzjb6==<<,b]svjaXn|fgSyf}erj\j`af|lU}ma3>3-a\twi`Wog`Rzvpd?3(fYwzfmTjxbc_u{saZgaz7> nQrne\bpjkW}s{iR|nci?6(fYwzfmTjxbc_u{saZtcka7> nQrne\bpjkW}s{iRynci?6(fYwzfmTjxbc_u{saZqcka7> nQrne\bpjkW}s{iRy}ci?6(fYuijbTxt~j=1.`[wbXlh~jSnaznu]tmaro5=&hSjPddrwl871$jUyhRh}ep?2(fYulVzexQxievk91*dW{nhdRzvpd?3(fYumnUx`dmj_lmgaZgaz7; nQ}ef]phlebWdeoiR|nci?3(fYumnUx`dmj_lmgaZtcka7; nQ}ef]phlebWdeoiRynci?3(fYumnUx`dmj_lmgaZqcka7; nQ}ef]phlebWdeoiRy}ci?3(fYrfmoyjaax_mmt95*dW|ynSnabmnl\kscunee|1="l_tqf[fijefdTycjjrgnls86+kVxiRjjf`wopZub|}cek~3?,b]vw`Ycg|~T~~z20-a\qvcXmji6<!mPurg\afeXiqcx1="l_tqf[`edW{khd0>#c^wpaZcdkVxooe3?,b]vw`YbkjU|mnf20-a\qvcXmjiT{img=1.`[pubWlihSz|lh<2/gZstmVofnhjkee]qab;7$jU~hQiigm22Z`kiny6<!mPurg\br`sWmkmRm`uov>4)eX~hfbhRb`w<2/gZqfkaUu}k20-a\saeoW}s{i0>#c^uq[delWee|1="l_vp\`drfWje~byQxievk91*dW~xThh~{h<35(fYpzVnn|yfPaykp9465<%iT{Qkeqvk[wgd`4;= nQxr^fftqnXzmic1<>=4-a\swYcmy~cSzolh<35(fYpzVnn|yfPweak9465<%iT{Qkeqvk[rtd`4;= nQxr^dqat;6$jU|~R~ats]tmaro5=&hSz|Ppovq[roc|aUjtd}211.`[rtXxg~ySzgkti]qefn:<%iT{Qnup\slbs`Vxooe3>0-a\swYwf}xT{dj{h^ubgm;3$jU|~R~ats]tmaroW~nhd0??,b]tvZvi|{U|eizg_vp`l82+kV}ySk|pnlpaZjf|ldhu0?#c^uqgmYsqyo6<p:4ftno<>h`kkb`i;4re]fj1=tzz~>7z|PeoCDu5>l2JKt?<l:G87>4}T<<09=94>5g8277bdj108=i;6{o026?7<f;;86;5+202965e<uZ>86??;:07e>455ljh36>?k659P516=:::1<7?<2eaa<?56l?=0_9=522294?74:mii47=>d7:8`74d290:6<u\448151<6=o0:??jlb9805a053^::54?:082>25|[=?1><:514d9564ckk21?<j92:&142<6<81]><?52zw20=<63|;?57>4}%354?763k89o7>51986a?14sA8;:6*>6e816f=];j09w?>51g8~ 76a2;8i7)=i:30g?!232;8n7d<>7;29?l4493:17b<>6;29?j45i3:17d<<3;29?j46=3:17b<=f;29?l44:3:17b<=9;29 4052;837c?91;28?j45?3:1(<8=:30;?k7193;07b<=6;29 4052;837c?91;08?j45=3:1(<8=:30;?k7193907b<=4;29 4052;837c?91;68?j45;3:1(<8=:30;?k7193?07b<=2;29 4052;837c?91;48?j4593:1(<8=:30;?k7193=07d<=0;29 4052;;m7c?91;28?l46m3:1(<8=:33e?k7193;07d<>d;29 4052;;m7c?91;08?l46k3:1(<8=:33e?k7193907d<>b;29 4052;;m7c?91;68?l46i3:1(<8=:33e?k7193?07d<>9;29 4052;;m7c?91;48?l4603:1(<8=:33e?k7193=07o<?e;295?6=8r.::i4jf:J14a=O:9<0chk50;9~f415290?6=4?{%35`?c03A8;h6F=079'bf<>3`9o6=44i5494?=n9?91<75`17694?=zj8=j6=4;:183!71l3o<7E<?d:J143=#nj027d=k:188m10=831b=;=50;9l532=831vn<6;:187>5<7s-;=h7k8;I03`>N58?1/jn46;h1g>5<<a=<1<75f17194?=h9?>1<75rb0a6>5<3290;w)?9d;g6?M47l2B9<;5+fb82?l5c2900e9<50;9j03<722e::94?::a5f0=83>1<7>t$04g>`3<@;:o7E<?6:&eg?7<a:n1<75f4383>>o3>3:17b?94;29?xd6jk0;694?:1y'53b=m<1C>=j4H325?!`d281b?i4?::k76?6=3`>=6=44o047>5<<uk;2m7>55;294~"6>m0n:6F=0e9K650<,oi1=6g<d;29?l5b2900e9<50;9j03<722e::94?::a5<?=83?1<7>t$04g>`0<@;:o7E<?6:&eg?7<a:n1<75f3d83>>o3:3:17d:9:188k4032900qo?68;291?6=8r.::i4j8:J14a=O:9<0(km59:k0`?6=3`9n6=44i5494?=n9?91<75`17694?=zj83<6=4::183!71l3o=7E<?d:J143=#nj0:7d=k:188m6c=831b8?4?::k72?6=3f;=87>5;|`2=c<72<0;6=u+17f9a==O:9n0D?>9;%d`><=n;m0;66g<e;29?l212900e<8<:188k4032900qo?n0;291?6=8r.::i4j6:J14a=O:9<0(km51:k0`?6=3`9n6=44i5094?=n<?0;66a>6583>>{e9h;1<7;50;2x 40c2l<0D?>k;I032>"ak3;0e>j50;9j7`<722c?>7>5;h65>5<<g8<?6=44}c3b6?6==3:1<v*>6e8f2>N58m1C>=84$ga95>o4l3:17d=j:188m14=831b8;4?::m221<722wi=lk50;794?6|,8<o6h84H32g?M47>2.mo7?4i2f94?=n;l0;66g;2;29?l212900c<8;:188yg7fl3:197>50z&22a<b>2B9<i5G2148 ce=92c8h7>5;h1f>5<<a=81<75f4783>>i6>=0;66sm1`a94?3=83:p(<8k:d48L76c3A8;:6*ic;38m6b=831b?h4?::k76?6=3`>=6=44o047>5<<uk;jn7>55;294~"6>m0n:6F=0e9K650<,oi1=6g<d;29?l5b2900e9<50;9j03<722e::94?::a5`4=83?1<7>t$04g>`0<@;:o7E<?6:&eg?7<a:n1<75f3d83>>o3:3:17d:9:188k4032900qo?j1;291?6=8r.::i4j6:J14a=O:9<0(km51:k0`?6=3`9n6=44i5094?=n<?0;66a>6583>>{e9l:1<7;50;2x 40c2l20D?>k;I032>"ak330e>j50;9j7`<722c?:7>5;h357?6=3f;=87>5;|`2`c<72<0;6=u+17f9a3=O:9n0D?>9;%d`>4=n;m0;66g<e;29?l252900e9850;9l532=831vn<k8:186>5<7s-;=h7k7;I03`>N58?1/jn46;h1g>5<<a:o1<75f4783>>o6>:0;66a>6583>>{e9l21<7;50;2x 40c2l<0D?>k;I032>"ak3;0e>j50;9j7`<722c?>7>5;h65>5<<g8<?6=44}c3f=?6==3:1<v*>6e8f2>N58m1C>=84$ga95>o4l3:17d=j:188m14=831b8;4?::m221<722wi=ho50;794?6|,8<o6h84H32g?M47>2.mo7?4i2f94?=n;l0;66g;2;29?l212900c<8;:188yg7a>3:197>50z&22a<b>2B9<i5G2148 ce=92c8h7>5;h1f>5<<a=81<75f4783>>i6>=0;66sm1g794?3=83:p(<8k:d48L76c3A8;:6*ic;38m6b=831b?h4?::k76?6=3`>=6=44o047>5<<uk;m87>55;294~"6>m0n:6F=0e9K650<,oi1=6g<d;29?l5b2900e9<50;9j03<722e::94?::a5c5=83?1<7>t$04g>`0<@;:o7E<?6:&eg?7<a:n1<75f3d83>>o3:3:17d:9:188k4032900qo?ie;297?6=8r.::i4>439K65b<@;:=7)hl:c9jb5<722cm=7>5;n36a?6=3th:jn4?:283>5}#9?n1=9<4H32g?M47>2.mo7l4ig294?=nn80;66a>5d83>>{e9ok1<7=50;2x 40c28>97E<?d:J143=#nj0i7dh?:188mc7=831d=8k50;9~f4`?29086=4?{%35`?73:2B9<i5G2148 ce=j2cm<7>5;hd2>5<<g8?n6=44}c3fa?6=;3:1<v*>6e8207=O:9n0D?>9;%d`>a`<ao:1<75ff083>>i6=l0;66sm1da94?5=83:p(<8k:061?M47l2B9<;5+fb8a?l`72900ek?50;9l50c=831vn<j9:180>5<7s-;=h7?;2:J14a=O:9<0(km59:ke4?6=3`l:6=44o07f>5<<uk;o97>53;294~"6>m0:8?5G21f8L7613-lh6o5ff183>>oa93:17b?:e;29?xd6l:0;6>4?:1y'53b=9=80D?>k;I032>"ak3h0ek>50;9jb4<722e:9h4?::a5g0=8391<7>t$04g>4253A8;h6F=079'bf<e3`l;6=44ig394?=h9<o1<75rb0`7>5<4290;w)?9d;376>N58m1C>=84$ga9f>oa83:17dh>:188k43b2900qo?m2;297?6=8r.::i4>439K65b<@;:=7)hl:c9jb5<722cm=7>5;n36a?6=3th:n=4?:283>5}#9?n1=9<4H32g?M47>2.mo7l4ig294?=nn80;66a>5d83>>{e9h<1<7=50;2x 40c28>97E<?d:J143=#nj0oj6gi0;29?l`62900c<;j:188yg7f<3:1?7>50z&22a<6<;1C>=j4H325?!`d2k1bj=4?::ke5?6=3f;>i7>5;|`2<`<72:0;6=u+17f9514<@;:o7E<?6:&eg??<ao:1<75ff083>>i6=l0;66sm19f94?5=83:p(<8k:061?M47l2B9<;5+fb8a?l`72900ek?50;9l50c=831vn<6m:180>5<7s-;=h7?;2:J14a=O:9<0(km5b:ke4?6=3`l:6=44o07f>5<<uk;o;7>54;294~"6>m0:895G21f8L7613-lh6h=4ig294?=nn80;66gi2;29?j72m3:17pl>8g83>1<729q/=;j51568L76c3A8;:6*ic;g0?l`72900ek?50;9jb7<722e:9h4?::a5a>=83?1<7>t$04g>4213A8;h6F=079'bf<f3`l;6=44ig394?=nn;0;66gi3;29?j72m3:17pl>9183>0<729q/=;j51548L76c3A8;:6*ic;c8mc6=831bj<4?::ke6?6=3`l86=44o07f>5<<uk8;?7>54;294~"6>m0:895G21f8L7613-lh6;5ff183>>oa93:17dh=:188k43b2900qo?ka;297?6=8r.::i4>439K65b<@;:=7)hl:0c8mc6=831bj<4?::m21`<722wi=i750;794?6|,8<o6<:9;I03`>N58?1/jn48;hd3>5<<ao;1<75ff383>>oa;3:17b?:e;29?xd61;0;6>4?:1y'53b=9=80D?>k;I032>"ak3;j7dh?:188mc7=831d=8k50;9~f4?6290>6=4?{%35`?73>2B9<i5G2148 ce=?2cm<7>5;hd2>5<<ao81<75ff283>>i6=l0;66sm1c;94?5=83:p(<8k:061?M47l2B9<;5+fb815>oa83:17dh>:188k43b2900qo?81;291?6=8r.::i4>479K65b<@;:=7)hl:308mc6=831bj<4?::ke6?6=3`l86=44o07f>5<<uk;<<7>55;294~"6>m0:8;5G21f8L7613-lh6<k4ig294?=nn80;66gi2;29?l`42900c<;j:188yg70=3:197>50z&22a<6<?1C>=j4H325?!`d2lk0ek>50;9jb4<722cm>7>5;hd0>5<<g8?n6=44}c343?6==3:1<v*>6e8203=O:9n0D?>9;%d`>74<ao:1<75ff083>>oa:3:17dh<:188k43b2900qo?84;291?6=8r.::i4>479K65b<@;:=7)hl:da8mc6=831bj<4?::ke6?6=3`l86=44o07f>5<<uk;hh7>53;294~"6>m0:8?5G21f8L7613-lh6o5ff183>>oa93:17b?:e;29?xd60<0;6>4?:1y'53b=9=80D?>k;I032>"ak3h0ek>50;9jb4<722e:9h4?::a5f4=8391<7>t$04g>4253A8;h6F=079'bf<592cm<7>5;hd2>5<<g8?n6=44}c3`0?6==3:1<v*>6e8203=O:9n0D?>9;%d`>74<ao:1<75ff083>>oa:3:17dh<:188k43b2900qo?l8;291?6=8r.::i4>479K65b<@;:=7)hl:0f8mc6=831bj<4?::ke6?6=3`l86=44o07f>5<<uk;h=7>55;294~"6>m0:8;5G21f8L7613-lh6?;4ig294?=nn80;66gi2;29?l`42900c<;j:188yg70l3:197>50z&22a<6<?1C>=j4H325?!`d28h0ek>50;9jb4<722cm>7>5;hd0>5<<g8?n6=44}c342?6==3:1<v*>6e8203=O:9n0D?>9;%d`>0=nn90;66gi1;29?l`52900ek=50;9l50c=831vn<m<:186>5<7s-;=h7?;6:J14a=O:9<0(km58:ke4?6=3`l:6=44ig094?=nn:0;66a>5d83>>{e91;1<7=50;2x 40c28>97E<?d:J143=#nj0oj6gi0;29?l`62900c<;j:188yg7?:3:197>50z&22a<6<?1C>=j4H325?!`d2lh0ek>50;9jb4<722cm>7>5;hd0>5<<g8?n6=44}c3`4?6==3:1<v*>6e8203=O:9n0D?>9;%d`>41<ao:1<75ff083>>oa:3:17dh<:188k43b2900qo?mf;291?6=8r.::i4>479K65b<@;:=7)hl:d;8mc6=831bj<4?::ke6?6=3`l86=44o07f>5<<uk;<o7>55;294~"6>m0:8;5G21f8L7613-lh6hj4ig294?=nn80;66gi2;29?l`42900c<;j:188yg7dj3:197>50z&22a<6<?1C>=j4H325?!`d28<0ek>50;9jb4<722cm>7>5;hd0>5<<g8?n6=44}c34b?6==3:1<v*>6e8203=O:9n0D?>9;%d`>`?<ao:1<75ff083>>oa:3:17dh<:188k43b2900qo?89;291?6=8r.::i4>479K65b<@;:=7)hl:308mc6=831bj<4?::ke6?6=3`l86=44o07f>5<<uk;3<7>55;294~"6>m0:8;5G21f8L7613-lh6h:4ig294?=nn80;66gi2;29?l`42900c<;j:188yg7d13:187>50z&22a<6<=1C>=j4H325?!`d2l90ek>50;9jb4<722cm>7>5;n36a?6=3th9<=4?:583>5}#9?n1=9:4H32g?M47>2.mo7k=;hd3>5<<ao;1<75ff383>>i6=l0;66sm1c:94?2=83:p(<8k:067?M47l2B9<;5+fb8f6>oa83:17dh>:188mc4=831d=8k50;9~f766290jm7>50z&22a<6><1C>=j4H325?_5d2hq9?7<;:b8g>44=9:0n6<:5f;36>x"58k0::;5+17;96>"a<390(k;53:&e2?5<,o=1?6*i8;18 c?=;2.mm7=4$g`97>"al390(kk53:&eb?5<,8:;6>5+11397>"68;087)??3;18 4632:1/==;53:&243<43-;;;7=4$02;>6=#9931?6*>0`80?!77j390(<>l:29'55b=;2.:<h4<;%33b?5<,8;;6>5+10397>"69;087)?>3;18 4732:1/=<;53:&253<43-;:;7=4$03;>6=#9831?6*>1`80?!76j390(<?l:29'54b=;2.:=h4<;%32b?5<,88;6>5+13397>"6:;087)?=3;18 4432:1/=?;53:&263<43-;9;7=4$00;>6=#9;31?6*>2`80?!75j390(<<l:29'57b=;2.:>h4<;%31b?5<,89;6>5+12397>"6;;087)?<3;18 4532:1/=>;53:&273<43-;8;7=4$01;>6=#9:31?6*>3`80?!74j390(<=l:29'531=;k1/=>j52:&27`<53-8;m7?96:&22d<53`>;6=44i5394?=nm90;66gj1;29?l71j3:17d?9c;29?l4703:17d<?9;29?l57290/=;<52g9m537=821b>h4?:%356?4a3g;==7?4;h0g>5<#9?81>k5a17396>=n:j0;6)?92;0e?k7193907d<m:18'534=:o1e=;?54:9j6d<72-;=>7<i;o355?3<3`826=4+17096c=i9?;1:65f2983>!71:38m7c?91;58?l40290/=;<52g9m537=021b?l4?:%356?5>3g;==7>4;h1;>5<#9?81?45a17395>=n;>0;6)?92;1:?k7193807d=9:18'534=;01e=;?53:9j70<72-;=>7=6;o355?2<3`9?6=4+17097<=i9?;1965f3283>!71:3927c?91;48?l55290/=;<5389m537=?21b?<4?:%356?5>3g;==764;h43>5<#9?819k5a17394>=n=l0;6)?92;7e?k7193;07d;l:18'534==o1e=;?52:9j1g<72-;=>7;i;o355?5<3`?j6=4+17091c=i9?;1865f5883>!71:3?m7c?91;78?l3?290/=;<55g9m537=>21b9:4?:%356?3a3g;==794;h75>5<#9?819k5a1739<>=n=<0;6)?92;7e?k7193307d;;:18'534==o1e=;?5a:9j16<72-;=>7;i;o355?d<3`?:6=4+17091c=i9?;1o65f5183>!71:3?m7c?91;f8?l2a290/=;<55g9m537=m21b8h4?:%356?3a3g;==7h4;h6g>5<#9?819k5a173955=<a=i1<7*>6386b>h6>80:=65f4c83>!71:3?m7c?91;31?>o3i3:1(<8=:4d8j40628907d:6:18'534==o1e=;?51598m1>=83.::?4:f:l224<6=21b::4?:%356?3a3g;==7?9;:k52?6=,8<968h4n042>41<3`<>6=4+17091c=i9?;1=554i7694?"6>;0>j6`>6082=>=n>:0;6)?92;7e?k7193;j76g92;29 4052<l0b<8>:0`8?l06290/=;<55g9m537=9j10e8j50;&227<2n2d::<4>d:9j17<72-;=>7;i;o355?7b32c?;7>5$041>0`<f8<:6<h4;h:2>5<#9?814=5a17394>=n?o0;6)?92;:3?k7193;07d9k:18'534=091e=;?52:9j3f<72-;=>76?;o355?5<3`=i6=4+1709<5=i9?;1865f7`83>!71:32;7c?91;78?l1>290/=;<5819m537=>21b;54?:%356?>73g;==794;h54>5<#9?814=5a1739<>=n??0;6)?92;:3?k7193307d9::18'534=091e=;?5a:9j31<72-;=>76?;o355?d<3`=96=4+1709<5=i9?;1o65f7083>!71:32;7c?91;f8?l17290/=;<5819m537=m21b:k4?:%356?>73g;==7h4;h4f>5<#9?814=5a173955=<a?n1<7*>638;4>h6>80:=65f6b83>!71:32;7c?91;31?>o1j3:1(<8=:928j40628907d8n:18'534=091e=;?51598m3?=83.::?470:l224<6=21b454?:%356?>73g;==7?9;:k;3?6=,8<965>4n042>41<3`2=6=4+1709<5=i9?;1=554i9794?"6>;03<6`>6082=>=n0=0;6)?92;:3?k7193;j76g73;29 40521:0b<8>:0`8?l>5290/=;<5819m537=9j10e:k50;&227<?82d::<4>d:9j36<72-;=>76?;o355?7b32c=47>5$041>=6<f8<:6<h4;h:g>5<#9?814n5a17394>=n0k0;6)?92;:`?k7193;07d6n:18'534=0j1e=;?52:9j<<<72-;=>76l;o355?5<3`396=4+1709=4=i9?;1<65f9183>!71:33:7c?91;38?l>a290/=;<5909m537=:21b4h4?:%356??63g;==7=4;nc`>5<#9?81mo5a17394>=hih0;6)?92;ca?k7193;07bo7:18'534=ik1e=;?52:9le2<72-;=>7om;o355?5<3fk=6=4+1709eg=i9?;1865`a483>!71:3ki7c?91;78?jg3290/=;<5ac9m537=>21dm>4?:%356?ge3g;==794;nc1>5<#9?81mo5a1739<>=hi80;6)?92;ca?k7193307bo?:18'534=ik1e=;?5a:9l=c<72-;=>7om;o355?d<3f3o6=4+1709eg=i9?;1o65`9b83>!71:3ki7c?91;f8?j?e290/=;<5ac9m537=m21d5l4?:%356?ge3g;==7h4;n;:>5<#9?81mo5a173955=<g021<7*>638bf>h6>80:=65`9683>!71:3ki7c?91;31?>i>>3:1(<8=:``8j40628907b7::18'534=ik1e=;?51598k<2=83.::?4nb:l224<6=21dn>4?:%356?ge3g;==7?9;:ma6?6=,8<96ll4n042>41<3fh:6=4+1709eg=i9?;1=554oc294?"6>;0jn6`>6082=>=hio0;6)?92;ca?k7193;j76ane;29 4052hh0b<8>:0`8?jgc290/=;<5ac9m537=9j10cl750;&227<fj2d::<4>d:9l=`<72-;=>7om;o355?7b32e2?7>5$041>dd<f8<:6<h4;nf:>5<#9?81h55a17394>=hl>0;6)?92;f;?k7193;07bj9:18'534=l11e=;?52:9l`0<72-;=>7j7;o355?5<3fio6=4+1709gf=i9?;1<65`cc83>!71:3ih7c?91;38?je>290/=;<5cb9m537=:21do54?:%356?ed3g;==7=4;na4>5<#9?81on5a17390>=hk?0;6)?92;a`?k7193?07bm::18'534=kj1e=;?56:9lg1<72-;=>7ml;o355?1<3fi86=4+1709gf=i9?;1465`c383>!71:3ih7c?91;;8?je6290/=;<5cb9m537=i21do=4?:%356?ed3g;==7l4;n`f>5<#9?81on5a1739g>=hjm0;6)?92;a`?k7193n07bll:18'534=kj1e=;?5e:9lfg<72-;=>7ml;o355?`<3fhj6=4+1709gf=i9?;1==54oc;94?"6>;0ho6`>60825>=hj10;6)?92;a`?k7193;976am7;29 4052ji0b<8>:018?jd1290/=;<5cb9m537=9=10co;50;&227<dk2d::<4>5:9l`1<72-;=>7ml;o355?7132eo?7>5$041>fe<f8<:6<94;nf1>5<#9?81on5a17395==<gm;1<7*>638`g>h6>80:565`d183>!71:3ih7c?91;3b?>idn3:1(<8=:ba8j40628h07bmj:18'534=kj1e=;?51b98kfg=83.::?4lc:l224<6l21dnk4?:%356?ed3g;==7?j;:ma0?6=,8<96nm4n042>4`<3fnn6=4+1709`a=i9?;1<65`db83>!71:3no7c?91;38?jbe290/=;<5de9m537=:21dhl4?:%356?bc3g;==7=4;|`2fd<72=0;6=u+17f9515<@;:o7E<?6:&eg?463`l;6=44ig394?=h9:l1<75`14g94?=zj8286=4::183!71l3;?;6F=0e9K650<,oi186gi0;29?l`62900ek<50;9jb6<722e:?k4?::a5gc=83?1<7>t$04g>4203A8;h6F=079'bf<bl2cm<7>5;hd2>5<<ao81<75ff283>>i6;o0;66sm16g94?2=83:p(<8k:060?M47l2B9<;5+fb82<>oa83:17dh>:188k45a2900c<;j:188yg7dk3:197>50z&22a<6<>1C>=j4H325?!`d2;<0ek>50;9jb4<722cm>7>5;hd0>5<<g89m6=44}c3a`?6==3:1<v*>6e8202=O:9n0D?>9;%d`>4?<ao:1<75ff083>>oa:3:17dh<:188k45a2900qo?88;290?6=8r.::i4>449K65b<@;:=7)hl:0a8mc6=831bj<4?::ke6?6=3f;8j7>5;|`2gd<72<0;6=u+17f9511<@;:o7E<?6:&eg?773`l;6=44ig394?=nn;0;66gi3;29?j74n3:17p}>4`83>3e|5;:n6hk4=0:7>40434;<m7?93:?237<6>:16=ol5439>5f0=<;16=n;5439>5dd=<;16=lm5439>5db=<;16=lk5439>5<`=9?901<o?:50894g62=801<o=:50894?02=801<77:040?87>13>970?6a;61?87a;3>970?i4;61?87a=3>970?i6;61?87b?3;=?63>e9876>;6m00?>63>e`876>;6lo0?>63>e18226=:9l;18?521d0907=::9;1=;l4=322>40d348;=7<?9:?144<48279<<4=e:?144<5l279<<4=c:?144<5j279<<4<a:?144<40279<<4<7:?144<4>279<<4<5:?144<18279<<4:e:?144<2k279<<4:b:?144<2i279<<4:9:?144<2>279<<4:5:?144<2<279<<4:3:?144<29279<<4:0:?144<3l279<<4;c:?144<3j279<<4;a:?144<31279<<4;8:?144<1=279<<494:?144<1;279<<492:?144<19279<<4:d:?144<?9279<<48f:?144<0l279<<48c:?144<0j279<<48a:?144<01279<<488:?144<0?279<<486:?144<0=279<<484:?144<0:279<<481:?144<08279<<49f:?144<1m279<<49d:?144<1k279<<49b:?144<1i279<<499:?144<?0279<<477:?144<?>279<<475:?144<?<279<<473:?144<?:279<<48e:?144<0;279<<498:?144<?l279<<47b:?144<?i279<<479:?144<>:279<<460:?144<?n279<<47e:p51d=838p1<9l:07f?87?:3l97p}>4b83>7}:9mk1=8k4=0f:>c5<uz;?h7>52z?2=7<6=l16=4?5f29~w42b2909w0?l8;36a>;6kk0m=6s|15d94?5|58=o6<;j;<34g?`434;ho7h=;|q215<72;q6=5>514g894>52o:0q~?:1;296~;6080:9h521909b4=z{8?96=4={<3`4?`534;ih7?<f:p505=838p1<li:07f?87em3l97p}>5583>7}:9>o1=8k4=0a`>c7<uz;>97>52z?2gg<a;27:o44>5d9~w4312909w0?8f;36a>;6kj0m?6s|14594?4|58=26<;j;<34<?`63ty:954?:3y>5f?=n;16=no512d8yv7213:1>v3>b`827c=:9j21j>5rs07b>5<5s4;<i7?<f:?23f<a:2wx=8l50;0x94e62o801<ln:07f?xu6=j0;6?u21c;950c<58i:6k?4}r35a?6=;r7:;=4>5d9>523=n916=5=5f19~w40a2908w0?81;36a>;6?<0m=63>828e6>{t9>81<7=t^336?870:3;=863>758e5>{t9>91<7<t=051>10<58=?6<;j;|q230<72;q6=:;514g894132o80q~?86;296~;6??0:9h5216:9b7=z{8=<6=4={<343?72m27:;54i0:p52>=838p1<9;:g18941?289m7p}>7`83>g}:9>k1=;:4=320>c4<582>6k?4=0:2>c6<582;6k>4=0`;>c7<58==6k=4=0a0>c5<58hm6k=4=05e>c5<58ij6k=4}r34f?6=;r7:494;6:?23d<3>27:4?4>5d9~w4>42909w0?72;d0?87?;3;8j6s|19694?4|V;8j70?74;350>{t91<1<7<t=0;4>10<582n6<;j;|q2<2<72;q6=465479>5=`=9<o0q~?78;296~;6100?:63>91821`=z{8226=4={<3:e?2134;2=7?:e:p5=g=838p1<o>:54894>e28?n7p}>8b83>7}:9h818;5219f950c<uz;2?7>57z?2=2<6>=16=l85f09>5=c=n816=5h5f09>5<6=n;16=4<5f09>52?=n:1v<7;:18587>03;=863>a58e4>;60o0m<63>918e7>;61;0m<63>788e5>{t90?1<7;t=0;:>40334;3n7h?;<3:4?`634;2=7h>;<343?`53ty:5;4?:5y>5<g=9?>01<6k:g2894?62o:01<98:g28yv7>j3:1?v3>9g8221=:9k<1j=521629b5=z{83h6=4<{<3b4?71<27:n94i0:?235<a92wx=4j50;1x94g628<?70?m2;d3?87093l97p}>9d83>6}:9h81=;:4=0`3>c6<58=:6k>4}r3b7?6=:r7:m=4;6:?2e1<6=l1v<o::18187>n3>=70?n6;36a>{t9h=1<7;t=0ca>40334;h>7h>;<035?5634;im7h>;<34a?`63ty:m54?:6y>5de=9?>01<l6:g3894e?2o801<9l:g38941a2o801?>>:20894dc2o;0q~?n9;292~;6im0::9521b69b6=:9kl1j?521b;9b4=::9;1?>5216f9b6=z{8kj6=49{<3ba?71<27:o94i1:?23a<a:279<<4<4:?2f`<a927:ol4i2:p5d`=838p1<oj:54894d728?n7p}>b083>7}:9hn18;521c0950c<uz;i?7>52z?2ef<3>27:n94>5d9~w4d22909w0?nb;65?87e>3;>i6s|1c594?b|58ki6>k4=0c`>6c<58ko6>k4=0cf>6c<583m6>k4=0c3>6c<58k:6>k4=0c1>6c<583<6>k4=0;;>6c<58326>k4=0;b>6c<58h36<;j;|q2fg<72:qU><84=0`a>40334;h=7h?;|q2ff<72;q6=ol5479>5f6=9<o0q~?me;296~;6k80m?63>bd827c=z{8i:6=4={<3`5?72m27:o=4i3:p5f4=838p1<m=:07f?87el3l97p}>c283>7}:9j91=8k4=0`g>c5<uz;h87>52z?2g1<6=l16=n>5f09~w4e2290<w0?l5;350>;6km0m=63>818e7>;5890m=63>778e6>;6k:0m>63>cb8e4>{t9j<1<7<t^30e?87d>3;=86s|1b594?5|58i=6984=0a6>10<58ii6<;j;|q2gf<72;q6=nl5f39>5fe=9:l0q~?le;296~;6lo0?:63>d7821`=z{8im6=4={<3f4?2134;o;7?:e:p5a6=838p1<k>:54894b?28?n7p}>d083>7}:9l818;521e;950c<uz;o>7>52z?2a<<3>27:h>4>5d9~w4b32909w0?ja;65?87c=3;>i6s|1e`94?1|58nm6<8;;<3fa?`634;o:7h>;<3g3?`634;o47h=;<3ge?`634;h>7h?;|q2`f<72?q6=h>5176894cd2o:01<j8:g2894b?2o901<jn:g2894dc2o:0q~?kd;291~;6m80::9521e19b5=:9m21j<521e;9b4=:9j>1j?5rs0ff>5<3s4;n>7?94:?2`0<a827:h44i0:?2g1<a82wx=h=50;1x94c028<?70?ie;d3?87ei3l;7p}>e583>1}:9l21=;:4=0d`>c6<58h26k>4=0a;>c7<uz;n97>54z?2a<<6>=16=ko5f19>5f?=n916=oh5f09~w4c1290?w0?ja;350>;6n10m<63>bd8e4>;6kh0m=6s|1d`94?4|58o36984=0g`>43b3ty:ii4?:3y>5`1=<?16=hk514g8yv7bn3:19v3>f28221=:9>31j?52213962=:9>:1j?5216g9b5=z{8l;6=49{<3e0?71<27:;n4i0:?23<<a8279<<4=8:?235<a;27:;k4i1:p5c7=83?p1<h::047?87093l870?87;d0?870l3l:70<?1;0:?xu6n;0;68u21g49532<58=:6k?4=054>c7<5;::6?o4=05g>c6<uz;m;7>52z?2b3<3>27:j54>5d9~w4`>2909w0?i5;65?87ai3;>i6s|1g`94?4|58l?6984=0d`>43b3ty:ji4?:3y>5c5=<?16=kk514g8yv7an3:1hv3>f280a>;6n=08i63>f480a>;6n?08i63>e680a>;6m108i63>e880a>;6mh08i63>dg80a>;6m908i63>e080a>;6m;08i63=01821`=z{;:96=4={<037?72m279<<4j1:p652=838p1<9::g1894>228?n7p}=0483>3}:9>?1j?521bf950c<5;::6h>4=322>76?34;3?7h<;<3aa?`43ty9=:4?:33xZ77034;387=k;<34e?5c34;<>7=k;<3af?5c34;h:7=k;<3`1?5c34;jn7=k;<3bg?5c34;jh7=k;<3ba?5c34;2j7=k;<3b4?5c34;j=7=k;<3b6?5c34;2;7=k;<3:<?5c34;257=k;<3:e?5c34;m?7=k;<3e0?5c34;m97=k;<3e2?5c34;n;7=k;<3f<?5c34;n57=k;<3fe?5c34;oj7=k;<3f4?5c34;n=7=k;<3f6?5c348;=7:?;<035?263ty9=54?:3y]64><5;::6994}r02=?6=:rT9=452213917=z{;;j6=4={_02e>;5880=:6s|20`94?4|V;;i70<?1;44?xu59j0;6?uQ20a897662=o0q~<>d;296~X59m16>=?54g9~w77b2909wS<>e:?144<2?2wx>?>50;0xZ747348;=7;7;|q164<72;qU>??4=322>g2<uz89>7>52z\167=::9;1nk5rs300>5<5sW89?63=008g7>{t:;>1<7<t^307?84793n?7p}=2483>7}Y:;?01?>>:c`8yv45>3:1>vP=279>657=jj1v?<8:181[45?279<<4l4:p67?=838pR?<6;<035?e23ty9?<4?:`y]667<5;:86k>4=0:6>c6<58h36k>4=055>c7<58i86k>4=0`e>c6<58=m6k>4=0:0>c7<58ij6k>4}r006?6=:8qU>><4=0df>c7<58lh6k?4=0db>c7<58l36k?4=0gf>c6<58oh6k?4=0f5>c6<58n>6k?4=0f0>c7<58h=6k?4=0`7>c7<58h96k?4=0`3>c7<58k=6k>4=0c7>c7<582n6k>4=0:g>c7<582i6k?4=0f4>c4<582m6k<4=0f;>c6<583;6k>4=320>c7<58n26k<4=0;2>c4<58=?6k>4=0:2>c7<582;6k<4=323>c4<58h36k<4=0a3>c6<58ii6k>4}r007?6=?rT9?>521bf9b5=:91:1j<522129b5=:9j21j=521649b5=:9j91j<5r}o0a3?6=9rB9<;5rn3`;>5<6sA8;:6sa2c;94?7|@;:=7p`=b`83>4}O:9<0qc<mb;295~N58?1vb?ll:182M47>2we>oj50;3xL7613td9nh4?:0yK650<ug8ij7>51zJ143=zf;i;6=4>{I032>{i:j;1<7?tH325?xh5k;0;6<uG2148yk4d;3:1=vF=079~j7e3290:wE<?6:m6f3=83;pD?>9;|l1g3<728qC>=84}o0`3?6=9rB9<;5rn3a;>5<6sA8;:6sa2b;94?7|@;:=7p`=c`83>4}O:9<0qc<lb;295~N58?1vb?ml:182M47>2we>nj50;3xL7613td9oh4?:0yK650<ug8hj7>51zJ143=zf;n;6=4>{I032>{i:m;1<7?tH325?xh5l;0;6<uG2148yk4c;3:1=vF=079~j7b3290:wE<?6:m6a3=83;pD?>9;|l1`3<728qC>=84}o0g3?6=9rB9<;5rn3f;>5<6sA8;:6sa2e;94?7|@;:=7p`=d`83>4}O:9<0qc<kb;295~N58?1vb?jl:182M47>2we>ij50;3xL7613td9hh4?:0yK650<ug8oj7>51zJ143=zf;o;6=4>{I032>{i:l;1<7?tH325?xh5m;0;6<uG2148yk4b;3:1=vF=079~j7c3290:wE<?6:m6`3=83;pD?>9;|l1a3<728qC>=84}o0f3?6=9rB9<;5rn3g;>5<6sA8;:6sa2d;94?7|@;:=7p`=e`83>4}O:9<0qc<jb;295~N58?1vb?kl:182M47>2we>hj50;3xL7613td9ih4?:0yK650<ug8nj7>51zJ143=zf;l;6=4>{I032>{i:o;1<7?tH325?xh5n;0;6<uG2148yk4a;3:1=vF=079~j7`3290:wE<?6:m6c3=83;pD?>9;|l1b3<728qC>=84}o0e3?6=9rB9<;5rn3d;>5<6sA8;:6sa2g;94?7|@;:=7p`=f`83>4}O:9<0qc<ib;295~N58?1vb?hl:182M47>2we>kj50;3xL7613td9jh4?:0yK650<ug8mj7>51zJ143=zf::;6=4>{I032>{i;9;1<7?tH325?xh48;0;6<uG2148yk57;3:1=vF=079~j663290:wE<?6:m753=83;pD?>9;|l043<728qC>=84}o133?6=9rB9<;5rn22;>5<6sA8;:6sa31;94?7|@;:=7p`<0`83>4}O:9<0qc=?b;295~N58?1vb>>l:182M47>2we?=j50;3xL7613td8<h4?:0yK650<ug9;j7>51zJ143=zf:;;6=4>{I032>{i;8;1<7?tH325?xh49;0;6<uG2148yk56;3:1=vF=079~j673290:wE<?6:m743=83;pD?>9;|l053<728qC>=84}o123?6=9rB9<;5rn23;>5<6sA8;:6sa30;94?7|@;:=7p`<1`83>4}O:9<0qc=>b;295~N58?1vb>?l:182M47>2we?<j50;3xL7613td8=h4?:0yK650<ug9:j7>51zJ143=zf:8;6=4>{I032>{i;;;1<7?tH325?xh4:;0;6<uG2148yk55;3:1=vF=079~j643290:wE<?6:m773=83;pD?>9;|l063<728qC>=84}o113?6=9rB9<;5rn20;>5<6sA8;:6sa33;94?7|@;:=7psr}AB@6?c2o?394kie|BCF~6zHIZpqMN
/uart_plb/trunk/pcores/uart_plb_v1_00_a/doc/readme.txt
0,0 → 1,28
1. use xilinx coregen to generate a FIFO,
2. put the fifo_generator_v8_1_8x16.ngc file in netlist folder,
3. put the fifo_generator_v8_1_8x16.vhd file in hdl/vhdl folder.
 
 
Offset Register
00 Recv Data
04 Send Data
08 Control Register
BIT3 BIT4 BIT5 BIT6 BIT7
rx_timeout rx_fifo_almost_empty rx_fifo_empty rx_fifo_almost_full rx_fifo_full
BIT11 BIT12 BIT13 BIT14 BIT15
tx_xmt_empty tx_fifo_almost_empty tx_fifo_empty tx_fifo_almost_full tx_fifo_full
BIT27 BIT30 BIT31
Interupt Enable Rx FIFO reset Tx FIFO reset
0C Status
same as Control Register
10 DLW
14 StratchPad
18 StratchPad
1C StratchPad
 
 
Note: Control Register bit0 to bit27 are interrupt control bits
bit30, bit31 are FIFO control bits
 
Clear FIFO: 1. write '1' to Control Register bit30/bit31
2. write '0' to Control Register bit30/bit31
/uart_plb/trunk/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd
0,0 → 1,67
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.uart_components.UNSIGNED_NUM_BITS;
 
entity tmo is
Port ( clk : in STD_LOGIC;
clr : in STD_LOGIC;
tick : in STD_LOGIC;
timeout : out STD_LOGIC); --pulse signal
end tmo;
 
architecture Behavioral of tmo is
 
-- one rcv_tick = 16 baud_tick
-- one bytte = 10 rcv_tick
-- delay 2 bytes
constant CNT_MAX : integer := 16 * 10 * 2;
signal cnt : integer range 0 to 16 * 16 * 2;
signal time_out_s : std_logic := '0';
signal time_out_q : std_logic := '0';
 
begin
 
process(clk)
begin
if rising_edge(clk) then
if (clr = '1') then
cnt <= 0;
elsif (tick = '1') then
cnt <= cnt + 1;
end if;
end if;
end process;
 
process(clk)
begin
if rising_edge(clk) then
if clr = '1' then
time_out_s <= '0';
elsif (cnt = CNT_MAX) then
time_out_s <= '1';
end if;
end if;
end process;
 
process(clk)
begin
if rising_edge(clk) then
time_out_q <= time_out_s;
end if;
end process;
 
process(clk)
begin
if rising_edge(clk) then
if (time_out_s = '1' and time_out_q = '0') then
timeout <= '1';
else
timeout <= '0';
end if;
end if;
end process;
 
end Behavioral;
 
/uart_plb/trunk/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd
0,0 → 1,105
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
package uart_components is
 
component uart
generic (DATA_BITS : integer);
Port (
rst : in std_logic;
clk : in std_logic;
dlw : in std_logic_vector(15 downto 0);
--
-- DLW = round(clk_Hz / (Desired_BaudRate x 16)) - 2
-- For baudrate 115200Hz :
-- 62.5MHz : DLW = 0x001F
-- 50.0MHz : DLW = 0x0019
--
tx_wr : in std_logic; -- pulse signal
tx_fifo_reset : in std_logic; -- pulse signal
tx_din : in std_logic_vector(DATA_BITS-1 downto 0);
tx_fifo_full : out std_logic; -- level signal
tx_fifo_almost_full : out std_logic; -- level signal
tx_fifo_empty : out std_logic; -- level signal
tx_fifo_almost_empty : out std_logic; -- level signal
tx_xmt_empty : out std_logic; -- level signal, transmit shift register empty
--
rx_rd : in std_logic; -- pulse signal
rx_fifo_reset : in std_logic; -- pulse signal
rx_dout : out std_logic_vector(DATA_BITS-1 downto 0);
rx_fifo_full : out std_logic; -- level signal
rx_fifo_almost_full : out std_logic; -- level signal
rx_fifo_empty : out std_logic; -- level signal
rx_fifo_almost_empty : out std_logic; -- level signal
rx_timeout : out std_logic; -- pulse signal
--
tx_sout : out std_logic;
rx_sin : in std_logic
);
end component;
 
component baudrate
port(
clk : in std_logic;
rst : in std_logic;
dlw : in std_logic_vector(15 downto 0);
tick : out std_logic -- baudrate * 16 tick
);
end component;
 
component xmt
generic (DATA_BITS : integer);
port (
clk : in std_logic; -- Clock
rst : in std_logic; -- Reset
tick : in std_logic; -- baudrate * 16 tick
wr : in std_logic; -- write din to transmitter
din : in std_logic_vector(DATA_BITS-1 downto 0); -- Input data
sout : out std_logic; -- Transmitter serial output
done : out std_logic -- Transmitter operation finished
);
end component;
 
component rcv
generic (DATA_BITS : integer);
port (
clk : in std_logic; -- Clock
rst : in std_logic; -- Reset
tick : in std_logic; -- baudrate * 16 tick
sin : in std_logic; -- Receiver serial input
dout : out std_logic_vector(DATA_BITS-1 downto 0); -- Output data
done : out std_logic -- Receiver operation finished
);
end component;
 
component tmo
Port (
clk : in std_logic;
clr : in std_logic;
tick : in std_logic;
timeout : out std_logic
);
end component;
 
COMPONENT fifo_generator_v8_1_8x16
PORT (
clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC
);
END COMPONENT;
 
end uart_components;
 
package body uart_components is
 
end uart_components;
/uart_plb/trunk/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd
0,0 → 1,529
------------------------------------------------------------------------------
-- uart_plb.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: uart_plb.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Fri Jun 03 17:26:27 2011 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
 
library interrupt_control_v2_01_a;
use interrupt_control_v2_01_a.interrupt_control;
 
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
 
library uart_plb_v1_00_a;
use uart_plb_v1_00_a.user_logic;
 
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
-- IP2INTC_Irpt -- Interrupt output to processor
------------------------------------------------------------------------------
 
entity uart_plb is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
 
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex6"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
tx_sout : out std_logic;
rx_sin : in std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
 
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
IP2INTC_Irpt : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
 
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
attribute SIGIS of IP2INTC_Irpt : signal is "INTR_LEVEL_HIGH";
 
end entity uart_plb;
 
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
 
architecture IMP of uart_plb is
 
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
constant USER_SLV_HIGHADDR : std_logic_vector := C_BASEADDR or X"000000FF";
constant INTR_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
constant INTR_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
 
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR, -- user logic slave space high address
ZERO_ADDR_PAD & INTR_BASEADDR, -- interrupt control space base address
ZERO_ADDR_PAD & INTR_HIGHADDR -- interrupt control space high address
);
 
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 8;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant INTR_NUM_CE : integer := 16;
 
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG), -- number of ce for user logic slave space
1 => INTR_NUM_CE -- number of ce for interrupt control space
);
 
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
 
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
 
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
 
------------------------------------------
-- Number of device level interrupts
------------------------------------------
constant INTR_NUM_IPIF_IRPT_SRC : integer := 4;
 
------------------------------------------
-- Capture mode for each IP interrupt (generated by user logic)
-- 1 = pass through (non-inverting)
-- 2 = pass through (inverting)
-- 3 = registered level (non-inverting)
-- 4 = registered level (inverting)
-- 5 = positive edge detect
-- 6 = negative edge detect
------------------------------------------
constant USER_NUM_INTR : integer := 1;
constant USER_INTR_CAPTURE_MODE : integer := 1;
 
constant INTR_IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_INTR_CAPTURE_MODE
);
 
------------------------------------------
-- Device priority encoder feature inclusion/omission
-- true = include priority encoder
-- false = omit priority encoder
------------------------------------------
constant INTR_INCLUDE_DEV_PENCODER : boolean := false;
 
------------------------------------------
-- Device ISC feature inclusion/omission
-- true = include device ISC
-- false = omit device ISC
------------------------------------------
constant INTR_INCLUDE_DEV_ISC : boolean := false;
 
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant INTR_CS_INDEX : integer := 1;
constant INTR_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, INTR_CS_INDEX);
 
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
 
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal intr_IPIF_Reg_Interrupts : std_logic_vector(0 to 1);
signal intr_IPIF_Lvl_Interrupts : std_logic_vector(0 to INTR_NUM_IPIF_IRPT_SRC-1);
signal intr_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal intr_IP2Bus_WrAck : std_logic;
signal intr_IP2Bus_RdAck : std_logic;
signal intr_IP2Bus_Error : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
signal user_IP2Bus_IntrEvent : std_logic_vector(0 to USER_NUM_INTR-1);
 
begin
 
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
 
------------------------------------------
-- instantiate interrupt_control
------------------------------------------
INTERRUPT_CONTROL_I : entity interrupt_control_v2_01_a.interrupt_control
generic map
(
C_NUM_CE => INTR_NUM_CE,
C_NUM_IPIF_IRPT_SRC => INTR_NUM_IPIF_IRPT_SRC,
C_IP_INTR_MODE_ARRAY => INTR_IP_INTR_MODE_ARRAY,
C_INCLUDE_DEV_PENCODER => INTR_INCLUDE_DEV_PENCODER,
C_INCLUDE_DEV_ISC => INTR_INCLUDE_DEV_ISC,
C_IPIF_DWIDTH => IPIF_SLV_DWIDTH
)
port map
(
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Interrupt_RdCE => ipif_Bus2IP_RdCE(INTR_CE_INDEX to INTR_CE_INDEX+INTR_NUM_CE-1),
Interrupt_WrCE => ipif_Bus2IP_WrCE(INTR_CE_INDEX to INTR_CE_INDEX+INTR_NUM_CE-1),
IPIF_Reg_Interrupts => intr_IPIF_Reg_Interrupts,
IPIF_Lvl_Interrupts => intr_IPIF_Lvl_Interrupts,
IP2Bus_IntrEvent => user_IP2Bus_IntrEvent,
Intr2Bus_DevIntr => IP2INTC_Irpt,
Intr2Bus_DBus => intr_IP2Bus_Data,
Intr2Bus_WrAck => intr_IP2Bus_WrAck,
Intr2Bus_RdAck => intr_IP2Bus_RdAck,
Intr2Bus_Error => intr_IP2Bus_Error,
Intr2Bus_Retry => open,
Intr2Bus_ToutSup => open
);
 
-- feed registered and level-pass-through interrupts into Device ISC if exists, otherwise ignored
intr_IPIF_Reg_Interrupts(0) <= '0';
intr_IPIF_Reg_Interrupts(1) <= '0';
intr_IPIF_Lvl_Interrupts(0) <= '0';
intr_IPIF_Lvl_Interrupts(1) <= '0';
intr_IPIF_Lvl_Interrupts(2) <= '0';
intr_IPIF_Lvl_Interrupts(3) <= '0';
 
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity uart_plb_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG,
C_NUM_INTR => USER_NUM_INTR
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
tx_sout => tx_sout,
rx_sin => rx_sin,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error,
IP2Bus_IntrEvent => user_IP2Bus_IntrEvent
);
 
------------------------------------------
-- connect internal signals
------------------------------------------
IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data, intr_IP2Bus_Data ) is
begin
 
case ipif_Bus2IP_CS is
when "10" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
when "01" => ipif_IP2Bus_Data <= intr_IP2Bus_Data;
when others => ipif_IP2Bus_Data <= (others => '0');
end case;
 
end process IP2BUS_DATA_MUX_PROC;
 
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck or intr_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck or intr_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error or intr_IP2Bus_Error;
 
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
 
end IMP;
/uart_plb/trunk/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd
0,0 → 1,125
-- $Id$
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
-- Serial UART receiver
entity rcv is
generic (DATA_BITS : integer);
port (
clk : in std_logic; -- Clock
rst : in std_logic; -- Reset
tick : in std_logic; -- baudrate*16 tick
sin : in std_logic; -- Receiver serial input
dout : out std_logic_vector(DATA_BITS-1 downto 0); -- Output data
done : out std_logic -- Receiver operation finished
);
end rcv;
 
architecture rtl of rcv is
 
signal shift_reg : std_logic_vector(DATA_BITS downto 0) := (others=>'1');
signal shift_cnt : std_logic_vector(DATA_BITS downto 0) := (others=>'1');
signal baud_cnt : std_logic_vector(3 downto 0);
signal start_bit_cnt : std_logic_vector(2 downto 0);
signal start_rcv : std_logic;
signal baud_tick : std_logic;
signal shift_cnt_0_q : std_logic;
 
begin
 
dout <= shift_reg (dout'range);
 
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
shift_cnt_0_q <= '1';
done <= '0';
else
shift_cnt_0_q <= shift_cnt(0);
if (shift_cnt(0) = '1') and (shift_cnt_0_q <= '0') then
done <= '1';
else
done <= '0';
end if;
end if;
end if;
end process;
 
process(clk)
begin
if rising_edge(clk) then
-- finished recv data and a start-bit comes in
if (shift_cnt(0) = '1') and (sin = '0') then
if (tick = '1') then
start_bit_cnt <= start_bit_cnt + 1;
end if;
else
start_bit_cnt <= (others=>'0');
end if;
end if;
end process;
 
process(clk)
begin
if rising_edge(clk) then
-- find the middle of start-bit
if (start_bit_cnt = "111") and (tick = '1') then
start_rcv <= '1'; -- start receiving data
else
start_rcv <= '0';
end if;
end if;
end process;
 
-- count baud_tick while receiving data, roll-over when overflowed
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
baud_cnt <= (others=>'0');
elsif (tick = '1') and (shift_cnt(0) = '0') then
baud_cnt <= baud_cnt + 1;
end if;
end if;
end process;
 
-- generate baudrate tick from counting baud_tick 16 times
-- to indicate it is time to receive a bit
process(clk)
begin
if rising_edge(clk) then
if (baud_cnt = "1111") and (tick = '1') then
baud_tick <= '1';
else
baud_tick <= '0';
end if;
end if;
end process;
 
-- receive a bit
process(clk)
begin
if rising_edge(clk) then
if (baud_tick = '1') then
shift_reg <= sin & shift_reg(shift_reg'left downto 1);
end if;
end if;
end process;
 
-- count how many bits have been received
-- shift_cnt(0) will be '1' when all bits are received
process(clk)
begin
if rising_edge(clk) then
if (start_rcv = '1') then
shift_cnt <= (others=>'0');
elsif (baud_tick = '1') then
shift_cnt <= '1' & shift_cnt(shift_reg'left downto 1);
end if;
end if;
end process;
 
end rtl;
/uart_plb/trunk/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd
0,0 → 1,56
-- $Id$
--
-- generates baud-rate * 16 tick
--
-- DLW = round(clk_Hz / (Desired_BaudRate x 16)) - 2
-- For baudrate 115200Hz :
-- 62.5MHz : DLW = 0x001F
-- 50.0MHz : DLW = 0x0019
--
-- =============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
entity baudrate is
port(
clk : in std_logic;
rst : in std_logic;
dlw : in std_logic_vector(15 downto 0);
tick : out std_logic
);
end entity;
 
architecture rtl of baudrate is
 
signal tick_s : std_logic := '0';
signal cnt : std_logic_vector(dlw'range) := (others => '0'); --X"0020";
 
begin
 
tick <= tick_s;
 
process(clk)
begin
if rising_edge(clk) then
if (tick_s = '1') or (rst = '1') then
cnt <= (others => '0');
else
cnt <= cnt + '1';
end if;
end if;
end process;
 
process(clk)
begin
if rising_edge(clk) then
if cnt = dlw then
tick_s <= '1';
else
tick_s <= '0';
end if;
end if;
end process;
 
end rtl;
/uart_plb/trunk/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd
0,0 → 1,82
-- $Id$
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
-- Serial UART transmitter
entity xmt is
generic (DATA_BITS : integer);
port (
clk : in std_logic; -- Clock
rst : in std_logic; -- Reset
tick : in std_logic; -- baudrate * 16 tick
wr : in std_logic; -- write din to transmitter
din : in std_logic_vector(DATA_BITS-1 downto 0); -- Input data
sout : out std_logic; -- Transmitter serial output
done : out std_logic -- level signal, transmit shift register empty
);
end xmt;
 
architecture rtl of xmt is
 
signal shift_reg : std_logic_vector(DATA_BITS downto 0) := (others=>'1');
signal shift_cnt : std_logic_vector(DATA_BITS+1 downto 0) := (others=>'1');
signal baud_cnt : std_logic_vector(3 downto 0) := (others=>'0');
signal baud_tick : std_logic := '0';
signal sout_s : std_logic := '1';
 
begin
 
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
baud_cnt <= (others=>'0');
elsif (tick = '1') then
baud_cnt <= baud_cnt + 1;
end if;
end if;
end process;
 
process(clk)
begin
if rising_edge(clk) then
if (baud_cnt = "1111") and (tick = '1') then
baud_tick <= '1';
else
baud_tick <= '0';
end if;
end if;
end process;
 
sout <= sout_s;
 
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
sout_s <= '1';
elsif (baud_tick = '1') then
sout_s <= shift_reg(0);
end if;
end if;
end process;
 
process(clk)
begin
if rising_edge(clk) then
if wr = '1' then
shift_reg <= din & '0'; -- add start bit
shift_cnt <= (others=>'0');
elsif (baud_tick = '1') then
shift_reg <= '1' & shift_reg(shift_reg'left downto 1); -- shift out and add stop bits
shift_cnt <= '1' & shift_cnt(shift_cnt'left downto 1); -- shift out and add done bits
end if;
end if;
end process;
 
done <= shift_cnt(0); -- it will be '1' when finished sending
 
end rtl;
 
/uart_plb/trunk/pcores/uart_plb_v1_00_a/hdl/vhdl/uart.vhd
0,0 → 1,178
-- $Id$
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.uart_components.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
 
entity uart is
generic (DATA_BITS : integer);
Port (
rst : in std_logic;
clk : in std_logic;
dlw : in std_logic_vector(15 downto 0);
--
tx_wr : in std_logic; -- pulse signal
tx_fifo_reset : in std_logic; -- pulse signal
tx_din : in std_logic_vector(DATA_BITS-1 downto 0);
tx_fifo_full : out std_logic; -- level signal
tx_fifo_almost_full : out std_logic; -- level signal
tx_fifo_empty : out std_logic; -- level signal
tx_fifo_almost_empty : out std_logic; -- level signal
tx_xmt_empty : out std_logic; -- level signal, transmit shift register empty
--
rx_rd : in std_logic; -- pulse signal
rx_fifo_reset : in std_logic; -- pulse signal
rx_dout : out std_logic_vector(DATA_BITS-1 downto 0);
rx_fifo_full : out std_logic; -- level signal
rx_fifo_almost_full : out std_logic; -- level signal
rx_fifo_empty : out std_logic; -- level signal
rx_fifo_almost_empty : out std_logic; -- level signal
rx_timeout : out std_logic; -- pulse signal
--
tx_sout : out std_logic;
rx_sin : in std_logic
);
end uart;
 
architecture rtl of uart is
 
-------- BaudRate -----------
signal tick_s : std_logic;
-------- TXD ----------------
type tx_state_type is (stTxIDLE, stTxDoWRITE, stTxDoREAD, stTxDONE);
signal ctxstate, ntxstate : tx_state_type;
signal xmt_done_s : std_logic;
signal xmt_wr_s : std_logic;
signal tx_fifo_rd_s : std_logic;
signal tx_fifo_empty_s : std_logic;
signal tx_fifo_dout_s : std_logic_vector(DATA_BITS-1 downto 0);
-------- RXD ----------------
signal rcv_done_s : std_logic := '0';
signal rcv_dout_s : std_logic_vector(DATA_BITS-1 downto 0) := (others=>'0');
 
signal tx_fifo_reset_s : std_logic;
signal rx_fifo_reset_s : std_logic;
 
begin
 
-------- BaudRate -----------
baud_gen : baudrate
port map (
clk => clk,
rst => rst,
dlw => dlw,
tick => tick_s
);
 
------------ TXD -------------------
tx : xmt
generic map (DATA_BITS => DATA_BITS)
port map (
clk => clk,
rst => rst,
tick => tick_s,
wr => xmt_wr_s,
din => tx_fifo_dout_s,
sout => tx_sout,
done => xmt_done_s
);
 
tx_fifo_reset_s <= tx_fifo_reset or rst;
tx_fifo_8x16 : fifo_generator_v8_1_8x16
PORT MAP (
clk => clk,
srst => tx_fifo_reset_s,
din => tx_din,
wr_en => tx_wr,
rd_en => tx_fifo_rd_s,
dout => tx_fifo_dout_s,
full => tx_fifo_full,
almost_full => tx_fifo_almost_full,
empty => tx_fifo_empty_s,
almost_empty => tx_fifo_almost_empty
);
 
tx_xmt_empty <= xmt_done_s;
tx_fifo_empty <= tx_fifo_empty_s;
 
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
ctxstate <= stTxIDLE;
else
ctxstate <= ntxstate;
end if;
end if;
end process;
 
process(xmt_done_s, tx_fifo_empty_s, ctxstate)
begin
ntxstate <= ctxstate;
case ctxstate is
when stTxIDLE =>
if (xmt_done_s = '1' and tx_fifo_empty_s = '0') then
ntxstate <= stTxDoWRITE;
end if;
when stTxDoWRITE => ntxstate <= stTxDoREAD;
when stTxDoREAD => ntxstate <= stTxDONE;
when stTxDONE => ntxstate <= stTxIDLE;
end case;
end process;
 
process(ctxstate)
begin
xmt_wr_s <= '0';
tx_fifo_rd_s <= '0';
case ctxstate is
when stTxDoWRITE => xmt_wr_s <= '1';
when stTxDoREAD => tx_fifo_rd_s <= '1';
when others => null;
end case;
end process;
 
 
------------ RXD -------------------
 
timeout : tmo
Port map (
clk => clk,
clr => rcv_done_s,
tick => tick_s,
timeout => rx_timeout
);
 
rx : rcv
generic map (DATA_BITS => DATA_BITS)
port map (
clk => clk,
rst => rst,
tick => tick_s,
sin => rx_sin,
dout => rcv_dout_s,
done => rcv_done_s
);
 
rx_fifo_reset_s <= rx_fifo_reset or rst;
 
rx_fifo_8x16 : fifo_generator_v8_1_8x16
PORT MAP (
clk => clk,
srst => rx_fifo_reset_s,
din => rcv_dout_s,
wr_en => rcv_done_s,
rd_en => rx_rd,
dout => rx_dout,
full => rx_fifo_full,
almost_full => rx_fifo_almost_full,
empty => rx_fifo_empty,
almost_empty => rx_fifo_almost_empty
);
 
end rtl;
/uart_plb/trunk/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd
0,0 → 1,328
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Fri Jun 03 17:26:27 2011 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
 
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.uart_components.uart;
 
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
 
-- DO NOT EDIT ABOVE THIS LINE --------------------
 
--USER libraries added here
 
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
-- C_NUM_INTR -- Number of interrupt event
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
-- IP2Bus_IntrEvent -- IP to Bus interrupt event
------------------------------------------------------------------------------
 
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
 
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 8;
C_NUM_INTR : integer := 1
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
tx_sout : out std_logic;
rx_sin : in std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
 
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_IntrEvent : out std_logic_vector(0 to C_NUM_INTR-1)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
 
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
 
end entity user_logic;
 
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
 
architecture IMP of user_logic is
 
--USER signal declarations added here, as needed for user logic
 
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_rxd : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_txd : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_ctrl : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_status : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_dlw : std_logic_vector(0 to C_SLV_DWIDTH-1) := X"00000019"; -- 115200Hz @50MHz
signal slv_reg5 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg6 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg7 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg_write_sel : std_logic_vector(0 to 7);
signal slv_reg_read_sel : std_logic_vector(0 to 7);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
 
begin
 
--USER logic implementation added here
inst_uart_plb_ip : uart
generic map(DATA_BITS => 8)
Port map(
rst => Bus2IP_Reset,
clk => Bus2IP_Clk,
dlw => slv_dlw(16 to 31),
--
tx_wr => Bus2IP_WrCE(1), -- pulse signal
tx_fifo_reset => slv_ctrl(31), -- pulse
tx_din => Bus2IP_Data(24 to 31),
tx_fifo_full => slv_status(15), -- level signal
tx_fifo_almost_full => slv_status(14),
tx_fifo_empty => slv_status(13), -- level signal
tx_fifo_almost_empty => slv_status(12), -- level signal
tx_xmt_empty => slv_status(11), -- level signal, transmit shift register empty
--
rx_rd => slv_reg_read_sel(0), -- pulse signal
rx_fifo_reset => slv_ctrl(30), -- pulse
rx_dout => slv_rxd(24 to 31),
rx_fifo_full => slv_status(7), -- level signal
rx_fifo_almost_full => slv_status(6),
rx_fifo_empty => slv_status(5), -- level signal
rx_fifo_almost_empty => slv_status(4),
rx_timeout => slv_status(3), -- pulse signal
--
tx_sout => tx_sout,
rx_sin => rx_sin
);
 
IP2Bus_IntrEvent(0) <= slv_ctrl(27) and
( (slv_ctrl(15) or slv_status(15)) or
(slv_ctrl(14) or slv_status(14)) or
(slv_ctrl(13) or slv_status(13)) or
(slv_ctrl(12) or slv_status(12)) or
(slv_ctrl(11) or slv_status(11)) or
(slv_ctrl(7) or slv_status(7)) or
(slv_ctrl(6) or slv_status(6)) or
(slv_ctrl(5) or slv_status(5)) or
(slv_ctrl(4) or slv_status(4)) or
(slv_ctrl(3) or slv_status(3))
);
slv_status(27) <= slv_ctrl(27);
slv_status(30) <= slv_ctrl(30);
slv_status(31) <= slv_ctrl(31);
 
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_write_sel <= Bus2IP_WrCE(0 to 7);
slv_reg_read_sel <= Bus2IP_RdCE(0 to 7);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4) or Bus2IP_RdCE(5) or Bus2IP_RdCE(6) or Bus2IP_RdCE(7);
 
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
 
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_rxd(0 to 23) <= (others => '0');
slv_txd <= (others => '0');
slv_ctrl <= (others => '0');
-- slv_status <= (others => '0');
slv_dlw <= X"0000001B";
 
slv_reg5 <= (others => '0');
slv_reg6 <= (others => '0');
slv_reg7 <= (others => '0');
else
case slv_reg_write_sel is
when "10000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-2 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_rxd(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "01000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_txd(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "00100000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_ctrl(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "00010000" =>
-- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
-- if ( Bus2IP_BE(byte_index) = '1' ) then
-- slv_reg3(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
-- end if;
 
-- end loop;
when "00001000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_dlw(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "00000100" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg5(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "00000010" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg6(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "00000001" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg7(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when others => null;
end case;
end if;
end if;
 
end process SLAVE_REG_WRITE_PROC;
 
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_rxd, slv_txd, slv_ctrl, slv_status, slv_dlw, slv_reg5, slv_reg6, slv_reg7 ) is
begin
 
case slv_reg_read_sel is
when "10000000" => slv_ip2bus_data <= slv_rxd;
when "01000000" => slv_ip2bus_data <= slv_txd;
when "00100000" => slv_ip2bus_data <= slv_ctrl;
when "00010000" => slv_ip2bus_data <= slv_status;
when "00001000" => slv_ip2bus_data <= slv_dlw;
when "00000100" => slv_ip2bus_data <= slv_reg5;
when "00000010" => slv_ip2bus_data <= slv_reg6;
when "00000001" => slv_ip2bus_data <= slv_reg7;
when others => slv_ip2bus_data <= (others => '0');
end case;
 
end process SLAVE_REG_READ_PROC;
 
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
 
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
 
end IMP;
/uart_plb/trunk/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd
0,0 → 1,285
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2011 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file fifo_generator_v8_1_8x16.vhd when simulating
-- the core, fifo_generator_v8_1_8x16. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
 
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_generator_v8_1_8x16 IS
PORT (
clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC
);
END fifo_generator_v8_1_8x16;
 
ARCHITECTURE fifo_generator_v8_1_8x16_a OF fifo_generator_v8_1_8x16 IS
-- synthesis translate_off
COMPONENT wrapped_fifo_generator_v8_1_8x16
PORT (
clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC
);
END COMPONENT;
 
-- Configuration specification
FOR ALL : wrapped_fifo_generator_v8_1_8x16 USE ENTITY XilinxCoreLib.fifo_generator_v8_1(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 1,
c_count_type => 0,
c_data_count_width => 4,
c_default_value => "BlankString",
c_din_width => 8,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 8,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan3",
c_full_flags_rst_val => 0,
c_has_almost_empty => 1,
c_has_almost_full => 1,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 0,
c_has_slave_ce => 0,
c_has_srst => 1,
c_has_underflow => 0,
c_has_valid => 0,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 0,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 1,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 1,
c_preload_regs => 0,
c_prim_fifo_type => "512x36",
c_prog_empty_thresh_assert_val => 2,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 5,
c_prog_empty_type_rach => 5,
c_prog_empty_type_rdch => 5,
c_prog_empty_type_wach => 5,
c_prog_empty_type_wdch => 5,
c_prog_empty_type_wrch => 5,
c_prog_full_thresh_assert_val => 14,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 13,
c_prog_full_type => 0,
c_prog_full_type_axis => 5,
c_prog_full_type_rach => 5,
c_prog_full_type_rdch => 5,
c_prog_full_type_wach => 5,
c_prog_full_type_wdch => 5,
c_prog_full_type_wrch => 5,
c_rach_type => 0,
c_rd_data_count_width => 4,
c_rd_depth => 16,
c_rd_freq => 1,
c_rd_pntr_width => 4,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 0,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 4,
c_wr_depth => 16,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 4,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_generator_v8_1_8x16
PORT MAP (
clk => clk,
srst => srst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
almost_full => almost_full,
empty => empty,
almost_empty => almost_empty
);
-- synthesis translate_on
 
END fifo_generator_v8_1_8x16_a;
/uart_plb/trunk/pcores/uart_plb_v1_00_a/data/_uart_plb_xst.prj
0,0 → 1,13
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/proc_common_pkg.vhd"
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_pkg.vhd"
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_muxcy.vhd"
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate128.vhd"
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/family_support.vhd"
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect_f.vhd"
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter_f.vhd"
vhdl plbv46_slave_single_v1_01_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1_01_a/hdl/vhdl/plb_address_decoder.vhd"
vhdl plbv46_slave_single_v1_01_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1_01_a/hdl/vhdl/plb_slave_attachment.vhd"
vhdl plbv46_slave_single_v1_01_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1_01_a/hdl/vhdl/plbv46_slave_single.vhd"
vhdl interrupt_control_v2_01_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_01_a/hdl/vhdl/interrupt_control.vhd"
vhdl uart_plb_v1_00_a "../hdl/vhdl/user_logic.vhd"
vhdl uart_plb_v1_00_a "../hdl/vhdl/uart_plb.vhd"
/uart_plb/trunk/pcores/uart_plb_v1_00_a/data/uart_plb_v2_1_0.bbd
0,0 → 1,9
##############################################################################
## Filename: C:\uart_plb\pcores/uart_plb_v1_00_a/data/uart_plb_v2_1_0.bbd
## Description: Black Box Definition
## Date: Fri Jun 03 17:53:14 2011 (by Create and Import Peripheral Wizard)
##############################################################################
 
Files
################################################################################
fifo_generator_v8_1_8x16.ngc
/uart_plb/trunk/pcores/uart_plb_v1_00_a/data/uart_plb_v2_1_0.pao
0,0 → 1,18
##############################################################################
## Filename: C:\uart_plb\pcores/uart_plb_v1_00_a/data/uart_plb_v2_1_0.pao
## Description: Peripheral Analysis Order
## Date: Fri Jun 03 17:53:15 2011 (by Create and Import Peripheral Wizard)
##############################################################################
 
lib proc_common_v3_00_a all vhdl
lib plbv46_slave_single_v1_01_a all vhdl
lib interrupt_control_v2_01_a all vhdl
lib uart_plb_v1_00_a uart_components vhdl
lib uart_plb_v1_00_a baud vhdl
lib uart_plb_v1_00_a tmo vhdl
lib uart_plb_v1_00_a fifo_generator_v8_1_8x16 vhdl
lib uart_plb_v1_00_a rx vhdl
lib uart_plb_v1_00_a tx vhdl
lib uart_plb_v1_00_a uart vhdl
lib uart_plb_v1_00_a user_logic vhdl
lib uart_plb_v1_00_a uart_plb vhdl
/uart_plb/trunk/pcores/uart_plb_v1_00_a/data/uart_plb_v2_1_0.mpd
0,0 → 1,85
###################################################################
##
## Name : uart_plb
## Desc : Microprocessor Peripheral Description
## : Automatically generated by PsfUtility
##
###################################################################
 
BEGIN uart_plb
 
## Peripheral Options
OPTION IPTYPE = PERIPHERAL
OPTION IMP_NETLIST = TRUE
OPTION HDL = VHDL
OPTION IP_GROUP = MICROBLAZE:PPC:USER
OPTION STYLE = MIX
OPTION RUN_NGCBUILD = TRUE
 
 
## Bus Interfaces
BUS_INTERFACE BUS = SPLB, BUS_STD = PLBV46, BUS_TYPE = SLAVE
 
## Generics for VHDL or Parameters for Verilog
PARAMETER C_BASEADDR = 0xffffffff, DT = std_logic_vector, PAIR = C_HIGHADDR, ADDRESS = BASE, BUS = SPLB
PARAMETER C_HIGHADDR = 0x00000000, DT = std_logic_vector, PAIR = C_BASEADDR, ADDRESS = HIGH, BUS = SPLB
PARAMETER C_SPLB_AWIDTH = 32, DT = INTEGER, BUS = SPLB
PARAMETER C_SPLB_DWIDTH = 128, DT = INTEGER, BUS = SPLB
PARAMETER C_SPLB_NUM_MASTERS = 8, DT = INTEGER, BUS = SPLB
PARAMETER C_SPLB_MID_WIDTH = 3, DT = INTEGER, BUS = SPLB
PARAMETER C_SPLB_NATIVE_DWIDTH = 32, DT = INTEGER, BUS = SPLB
PARAMETER C_SPLB_P2P = 0, DT = INTEGER, BUS = SPLB
PARAMETER C_SPLB_SUPPORT_BURSTS = 0, DT = INTEGER, BUS = SPLB
PARAMETER C_SPLB_SMALLEST_MASTER = 32, DT = INTEGER, BUS = SPLB
PARAMETER C_SPLB_CLK_PERIOD_PS = 10000, DT = INTEGER, BUS = SPLB
PARAMETER C_INCLUDE_DPHASE_TIMER = 0, DT = INTEGER
PARAMETER C_FAMILY = virtex6, DT = STRING
 
## Ports
PORT tx_sout = "", DIR = O
PORT rx_sin = "", DIR = I
PORT SPLB_Clk = "", DIR = I, SIGIS = CLK, BUS = SPLB
PORT SPLB_Rst = SPLB_Rst, DIR = I, SIGIS = RST, BUS = SPLB
PORT PLB_ABus = PLB_ABus, DIR = I, VEC = [0:31], BUS = SPLB
PORT PLB_UABus = PLB_UABus, DIR = I, VEC = [0:31], BUS = SPLB
PORT PLB_PAValid = PLB_PAValid, DIR = I, BUS = SPLB
PORT PLB_SAValid = PLB_SAValid, DIR = I, BUS = SPLB
PORT PLB_rdPrim = PLB_rdPrim, DIR = I, BUS = SPLB
PORT PLB_wrPrim = PLB_wrPrim, DIR = I, BUS = SPLB
PORT PLB_masterID = PLB_masterID, DIR = I, VEC = [0:(C_SPLB_MID_WIDTH-1)], BUS = SPLB
PORT PLB_abort = PLB_abort, DIR = I, BUS = SPLB
PORT PLB_busLock = PLB_busLock, DIR = I, BUS = SPLB
PORT PLB_RNW = PLB_RNW, DIR = I, BUS = SPLB
PORT PLB_BE = PLB_BE, DIR = I, VEC = [0:((C_SPLB_DWIDTH/8)-1)], BUS = SPLB
PORT PLB_MSize = PLB_MSize, DIR = I, VEC = [0:1], BUS = SPLB
PORT PLB_size = PLB_size, DIR = I, VEC = [0:3], BUS = SPLB
PORT PLB_type = PLB_type, DIR = I, VEC = [0:2], BUS = SPLB
PORT PLB_lockErr = PLB_lockErr, DIR = I, BUS = SPLB
PORT PLB_wrDBus = PLB_wrDBus, DIR = I, VEC = [0:(C_SPLB_DWIDTH-1)], BUS = SPLB
PORT PLB_wrBurst = PLB_wrBurst, DIR = I, BUS = SPLB
PORT PLB_rdBurst = PLB_rdBurst, DIR = I, BUS = SPLB
PORT PLB_wrPendReq = PLB_wrPendReq, DIR = I, BUS = SPLB
PORT PLB_rdPendReq = PLB_rdPendReq, DIR = I, BUS = SPLB
PORT PLB_wrPendPri = PLB_wrPendPri, DIR = I, VEC = [0:1], BUS = SPLB
PORT PLB_rdPendPri = PLB_rdPendPri, DIR = I, VEC = [0:1], BUS = SPLB
PORT PLB_reqPri = PLB_reqPri, DIR = I, VEC = [0:1], BUS = SPLB
PORT PLB_TAttribute = PLB_TAttribute, DIR = I, VEC = [0:15], BUS = SPLB
PORT Sl_addrAck = Sl_addrAck, DIR = O, BUS = SPLB
PORT Sl_SSize = Sl_SSize, DIR = O, VEC = [0:1], BUS = SPLB
PORT Sl_wait = Sl_wait, DIR = O, BUS = SPLB
PORT Sl_rearbitrate = Sl_rearbitrate, DIR = O, BUS = SPLB
PORT Sl_wrDAck = Sl_wrDAck, DIR = O, BUS = SPLB
PORT Sl_wrComp = Sl_wrComp, DIR = O, BUS = SPLB
PORT Sl_wrBTerm = Sl_wrBTerm, DIR = O, BUS = SPLB
PORT Sl_rdDBus = Sl_rdDBus, DIR = O, VEC = [0:(C_SPLB_DWIDTH-1)], BUS = SPLB
PORT Sl_rdWdAddr = Sl_rdWdAddr, DIR = O, VEC = [0:3], BUS = SPLB
PORT Sl_rdDAck = Sl_rdDAck, DIR = O, BUS = SPLB
PORT Sl_rdComp = Sl_rdComp, DIR = O, BUS = SPLB
PORT Sl_rdBTerm = Sl_rdBTerm, DIR = O, BUS = SPLB
PORT Sl_MBusy = Sl_MBusy, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB
PORT Sl_MWrErr = Sl_MWrErr, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB
PORT Sl_MRdErr = Sl_MRdErr, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB
PORT Sl_MIRQ = Sl_MIRQ, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB
PORT IP2INTC_Irpt = "", DIR = O, SIGIS = INTERRUPT, SENSITIVITY = LEVEL_HIGH
 
END
/uart_plb/trunk/pcores/uart_plb_v1_00_a/devl/ipwiz.log
0,0 → 1,2296
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
proc_common_v3_00_a will be used ...
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
plbv46_slave_single_v1_01_a will be used ...
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
interrupt_control_v2_01_a will be used ...
Parsing PAO project file successfully ...
Analyzing HDL source files ...
WARNING:EDK:1303 - Failed to infer sub library HDL file uart_components.vhd from
reference repositories!
Either add more reference repositories or skip this sub library file ...
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
proc_common_v3_00_a will be used ...
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
plbv46_slave_single_v1_01_a will be used ...
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
interrupt_control_v2_01_a will be used ...
Parsing PAO project file successfully ...
Analyzing HDL source files ...
Analyzing HDL source files successfully ...
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
resolving hierarchical inclusion of library proc_common_v3_00_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
INFO:EDK:3391 - Create temporary xst project file:
C:\uart_plb\pcores/uart_plb.prj
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
Package <family_support> compiled.
Package body <family_support> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
Package <proc_common_pkg> compiled.
Package body <proc_common_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
Entity <inferred_lut4> compiled.
Entity <inferred_lut4> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
Entity <muxf_struct> compiled.
Entity <muxf_struct> (Architecture <imp>) compiled.
Entity <muxf_struct_f> compiled.
Entity <muxf_struct_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_bit> compiled.
Entity <pf_counter_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
Entity <cntr_incr_decr_addn_f> compiled.
Entity <cntr_incr_decr_addn_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_f> compiled.
Entity <dynshreg_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder_bit> compiled.
Entity <pf_adder_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter> compiled.
Entity <pf_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter> compiled.
Entity <pf_occ_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <counter_bit> compiled.
Entity <counter_bit> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy> compiled.
Entity <or_muxcy> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy_f> compiled.
Entity <or_muxcy_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu_f> compiled.
Entity <srl_fifo_rbu_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
Package <family> compiled.
Package body <family> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter_top> compiled.
Entity <pf_occ_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_top> compiled.
Entity <pf_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder> compiled.
Entity <pf_adder> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
Entity <Counter> compiled.
Entity <Counter> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
Package <coregen_comp_defs> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
Package <Common_Types> compiled.
Package body <Common_Types> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
Package <conv_funs_pkg> compiled.
Package body <conv_funs_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <async_fifo_fg> compiled.
Entity <async_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <sync_fifo_fg> compiled.
Entity <sync_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
Entity <blk_mem_gen_wrapper> compiled.
Entity <blk_mem_gen_wrapper> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
Entity <addsub> compiled.
Entity <addsub> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr> compiled.
Entity <direct_path_cntr> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr_ai> compiled.
Entity <direct_path_cntr_ai> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
Entity <down_counter> compiled.
Entity <down_counter> (Architecture <simulation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
Entity <eval_timer> compiled.
Entity <eval_timer> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
Entity <IPIF_Steer> compiled.
Entity <IPIF_Steer> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_steer128> compiled.
Entity <ipif_steer128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_mirror128> compiled.
Entity <ipif_mirror128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg> compiled.
Entity <ld_arith_reg> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg2> compiled.
Entity <ld_arith_reg2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot> compiled.
Entity <mux_onehot> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
Entity <or_bits> compiled.
Entity <or_bits> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
Entity <or_gate> compiled.
Entity <or_gate> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
Entity <pf_dpram_select> compiled.
Entity <pf_dpram_select> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
Entity <pselect> compiled.
Entity <pselect> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
Entity <pselect_mask> compiled.
Entity <pselect_mask> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
Entity <srl16_fifo> compiled.
Entity <srl16_fifo> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
Entity <SRL_FIFO> compiled.
Entity <SRL_FIFO> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo2> compiled.
Entity <srl_fifo2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo3> compiled.
Entity <srl_fifo3> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu> compiled.
Entity <srl_fifo_rbu> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
Entity <valid_be> compiled.
Entity <valid_be> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
Entity <or_with_enable_f> compiled.
Entity <or_with_enable_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_i_f> compiled.
Entity <dynshreg_i_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot_f> compiled.
Entity <mux_onehot_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_f> compiled.
Entity <srl_fifo_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
Entity <compare_vectors_f> compiled.
Entity <compare_vectors_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
Entity <counter_f> compiled.
Entity <counter_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
Entity <or_gate_f> compiled.
Entity <or_gate_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
Entity <soft_reset> compiled.
Entity <soft_reset> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
Entity <pselect_f> compiled.
Entity <pselect_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
Entity <or_gate128> compiled.
Entity <or_gate128> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
Package <ipif_pkg> compiled.
Package body <ipif_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_address_decoder> compiled.
Entity <plb_address_decoder> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
uart_plb_v1_00_a.
Package <uart_components> compiled.
Package body <uart_components> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_slave_attachment> compiled.
Entity <plb_slave_attachment> (Architecture <implementation>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
Library uart_plb_v1_00_a.
Entity <baudrate> compiled.
Entity <baudrate> (Architecture <rtl>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
Library uart_plb_v1_00_a.
Entity <xmt> compiled.
Entity <xmt> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
Library uart_plb_v1_00_a.
Entity <fifo_generator_v8_1_8x16> compiled.
Entity <fifo_generator_v8_1_8x16> (Architecture <fifo_generator_v8_1_8x16_a>)
compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
Library uart_plb_v1_00_a.
Entity <tmo> compiled.
Entity <tmo> (Architecture <Behavioral>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
Library uart_plb_v1_00_a.
Entity <rcv> compiled.
Entity <rcv> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
Entity <interrupt_control> compiled.
Entity <interrupt_control> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plbv46_slave_single> compiled.
Entity <plbv46_slave_single> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" in Library
uart_plb_v1_00_a.
Entity <user_logic> compiled.
ERROR:HDLParsers:3312 -
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" Line 160.
Undefined symbol 'uart_plb_ip'.
ERROR:EDK:2121 - Parse Errors encountered in HDL source
WARNING:EDK:3590 - Unable to delete temporary project file
C:\uart_plb\pcores\uart_plb.prj : 13
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
WARNING:EDK:2221 - Project file C:\uart_plb\pcores/uart_plb.prj already exists,
will be overwrite and removed afterward ...
resolving hierarchical inclusion of library proc_common_v3_00_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
INFO:EDK:3391 - Create temporary xst project file:
C:\uart_plb\pcores/uart_plb.prj
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
Package <family_support> compiled.
Package body <family_support> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
Package <proc_common_pkg> compiled.
Package body <proc_common_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
Entity <inferred_lut4> compiled.
Entity <inferred_lut4> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
Entity <muxf_struct> compiled.
Entity <muxf_struct> (Architecture <imp>) compiled.
Entity <muxf_struct_f> compiled.
Entity <muxf_struct_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_bit> compiled.
Entity <pf_counter_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
Entity <cntr_incr_decr_addn_f> compiled.
Entity <cntr_incr_decr_addn_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_f> compiled.
Entity <dynshreg_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder_bit> compiled.
Entity <pf_adder_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter> compiled.
Entity <pf_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter> compiled.
Entity <pf_occ_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <counter_bit> compiled.
Entity <counter_bit> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy> compiled.
Entity <or_muxcy> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy_f> compiled.
Entity <or_muxcy_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu_f> compiled.
Entity <srl_fifo_rbu_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
Package <family> compiled.
Package body <family> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter_top> compiled.
Entity <pf_occ_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_top> compiled.
Entity <pf_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder> compiled.
Entity <pf_adder> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
Entity <Counter> compiled.
Entity <Counter> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
Package <coregen_comp_defs> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
Package <Common_Types> compiled.
Package body <Common_Types> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
Package <conv_funs_pkg> compiled.
Package body <conv_funs_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <async_fifo_fg> compiled.
Entity <async_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <sync_fifo_fg> compiled.
Entity <sync_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
Entity <blk_mem_gen_wrapper> compiled.
Entity <blk_mem_gen_wrapper> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
Entity <addsub> compiled.
Entity <addsub> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr> compiled.
Entity <direct_path_cntr> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr_ai> compiled.
Entity <direct_path_cntr_ai> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
Entity <down_counter> compiled.
Entity <down_counter> (Architecture <simulation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
Entity <eval_timer> compiled.
Entity <eval_timer> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
Entity <IPIF_Steer> compiled.
Entity <IPIF_Steer> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_steer128> compiled.
Entity <ipif_steer128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_mirror128> compiled.
Entity <ipif_mirror128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg> compiled.
Entity <ld_arith_reg> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg2> compiled.
Entity <ld_arith_reg2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot> compiled.
Entity <mux_onehot> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
Entity <or_bits> compiled.
Entity <or_bits> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
Entity <or_gate> compiled.
Entity <or_gate> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
Entity <pf_dpram_select> compiled.
Entity <pf_dpram_select> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
Entity <pselect> compiled.
Entity <pselect> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
Entity <pselect_mask> compiled.
Entity <pselect_mask> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
Entity <srl16_fifo> compiled.
Entity <srl16_fifo> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
Entity <SRL_FIFO> compiled.
Entity <SRL_FIFO> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo2> compiled.
Entity <srl_fifo2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo3> compiled.
Entity <srl_fifo3> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu> compiled.
Entity <srl_fifo_rbu> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
Entity <valid_be> compiled.
Entity <valid_be> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
Entity <or_with_enable_f> compiled.
Entity <or_with_enable_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_i_f> compiled.
Entity <dynshreg_i_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot_f> compiled.
Entity <mux_onehot_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_f> compiled.
Entity <srl_fifo_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
Entity <compare_vectors_f> compiled.
Entity <compare_vectors_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
Entity <counter_f> compiled.
Entity <counter_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
Entity <or_gate_f> compiled.
Entity <or_gate_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
Entity <soft_reset> compiled.
Entity <soft_reset> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
Entity <pselect_f> compiled.
Entity <pselect_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
Entity <or_gate128> compiled.
Entity <or_gate128> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
Package <ipif_pkg> compiled.
Package body <ipif_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_address_decoder> compiled.
Entity <plb_address_decoder> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_slave_attachment> compiled.
Entity <plb_slave_attachment> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
uart_plb_v1_00_a.
Package <uart_components> compiled.
Package body <uart_components> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
Entity <interrupt_control> compiled.
Entity <interrupt_control> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plbv46_slave_single> compiled.
Entity <plbv46_slave_single> (Architecture <implementation>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
Library uart_plb_v1_00_a.
Entity <baudrate> compiled.
Entity <baudrate> (Architecture <rtl>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
Library uart_plb_v1_00_a.
Entity <xmt> compiled.
Entity <xmt> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
Library uart_plb_v1_00_a.
Entity <fifo_generator_v8_1_8x16> compiled.
Entity <fifo_generator_v8_1_8x16> (Architecture <fifo_generator_v8_1_8x16_a>)
compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
Library uart_plb_v1_00_a.
Entity <tmo> compiled.
Entity <tmo> (Architecture <Behavioral>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
Library uart_plb_v1_00_a.
Entity <rcv> compiled.
Entity <rcv> (Architecture <rtl>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd"
in Library uart_plb_v1_00_a.
ERROR:HDLParsers:3014 -
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 76. Library
unit user_logic is not available in library uart_plb_v1_00_a.
ERROR:EDK:2121 - Parse Errors encountered in HDL source
WARNING:EDK:3590 - Unable to delete temporary project file
C:\uart_plb\pcores\uart_plb.prj : 13
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
proc_common_v3_00_a will be used ...
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
plbv46_slave_single_v1_01_a will be used ...
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
interrupt_control_v2_01_a will be used ...
Parsing PAO project file successfully ...
Analyzing HDL source files ...
Analyzing HDL source files successfully ...
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
WARNING:EDK:2221 - Project file C:\uart_plb\pcores/uart_plb.prj already exists,
will be overwrite and removed afterward ...
resolving hierarchical inclusion of library proc_common_v3_00_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
INFO:EDK:3391 - Create temporary xst project file:
C:\uart_plb\pcores/uart_plb.prj
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
Package <family_support> compiled.
Package body <family_support> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
Package <proc_common_pkg> compiled.
Package body <proc_common_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
Entity <inferred_lut4> compiled.
Entity <inferred_lut4> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
Entity <muxf_struct> compiled.
Entity <muxf_struct> (Architecture <imp>) compiled.
Entity <muxf_struct_f> compiled.
Entity <muxf_struct_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_bit> compiled.
Entity <pf_counter_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
Entity <cntr_incr_decr_addn_f> compiled.
Entity <cntr_incr_decr_addn_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_f> compiled.
Entity <dynshreg_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder_bit> compiled.
Entity <pf_adder_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter> compiled.
Entity <pf_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter> compiled.
Entity <pf_occ_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <counter_bit> compiled.
Entity <counter_bit> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy> compiled.
Entity <or_muxcy> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy_f> compiled.
Entity <or_muxcy_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu_f> compiled.
Entity <srl_fifo_rbu_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
Package <family> compiled.
Package body <family> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter_top> compiled.
Entity <pf_occ_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_top> compiled.
Entity <pf_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder> compiled.
Entity <pf_adder> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
Entity <Counter> compiled.
Entity <Counter> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
Package <coregen_comp_defs> compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
uart_plb_v1_00_a.
Package <uart_components> compiled.
Package body <uart_components> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
Package <Common_Types> compiled.
Package body <Common_Types> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
Package <conv_funs_pkg> compiled.
Package body <conv_funs_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <async_fifo_fg> compiled.
Entity <async_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <sync_fifo_fg> compiled.
Entity <sync_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
Entity <blk_mem_gen_wrapper> compiled.
Entity <blk_mem_gen_wrapper> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
Entity <addsub> compiled.
Entity <addsub> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr> compiled.
Entity <direct_path_cntr> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr_ai> compiled.
Entity <direct_path_cntr_ai> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
Entity <down_counter> compiled.
Entity <down_counter> (Architecture <simulation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
Entity <eval_timer> compiled.
Entity <eval_timer> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
Entity <IPIF_Steer> compiled.
Entity <IPIF_Steer> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_steer128> compiled.
Entity <ipif_steer128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_mirror128> compiled.
Entity <ipif_mirror128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg> compiled.
Entity <ld_arith_reg> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg2> compiled.
Entity <ld_arith_reg2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot> compiled.
Entity <mux_onehot> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
Entity <or_bits> compiled.
Entity <or_bits> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
Entity <or_gate> compiled.
Entity <or_gate> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
Entity <pf_dpram_select> compiled.
Entity <pf_dpram_select> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
Entity <pselect> compiled.
Entity <pselect> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
Entity <pselect_mask> compiled.
Entity <pselect_mask> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
Entity <srl16_fifo> compiled.
Entity <srl16_fifo> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
Entity <SRL_FIFO> compiled.
Entity <SRL_FIFO> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo2> compiled.
Entity <srl_fifo2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo3> compiled.
Entity <srl_fifo3> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu> compiled.
Entity <srl_fifo_rbu> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
Entity <valid_be> compiled.
Entity <valid_be> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
Entity <or_with_enable_f> compiled.
Entity <or_with_enable_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_i_f> compiled.
Entity <dynshreg_i_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot_f> compiled.
Entity <mux_onehot_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_f> compiled.
Entity <srl_fifo_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
Entity <compare_vectors_f> compiled.
Entity <compare_vectors_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
Entity <counter_f> compiled.
Entity <counter_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
Entity <or_gate_f> compiled.
Entity <or_gate_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
Entity <soft_reset> compiled.
Entity <soft_reset> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
Entity <pselect_f> compiled.
Entity <pselect_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
Entity <or_gate128> compiled.
Entity <or_gate128> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
Package <ipif_pkg> compiled.
Package body <ipif_pkg> compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
Library uart_plb_v1_00_a.
Entity <baudrate> compiled.
Entity <baudrate> (Architecture <rtl>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
Library uart_plb_v1_00_a.
Entity <xmt> compiled.
Entity <xmt> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
Library uart_plb_v1_00_a.
Entity <fifo_generator_v8_1_8x16> compiled.
Entity <fifo_generator_v8_1_8x16> (Architecture <fifo_generator_v8_1_8x16_a>)
compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
Library uart_plb_v1_00_a.
Entity <tmo> compiled.
Entity <tmo> (Architecture <Behavioral>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
Library uart_plb_v1_00_a.
Entity <rcv> compiled.
Entity <rcv> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_address_decoder> compiled.
Entity <plb_address_decoder> (Architecture <IMP>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart.vhd" in
Library uart_plb_v1_00_a.
Entity <uart> compiled.
Entity <uart> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_slave_attachment> compiled.
Entity <plb_slave_attachment> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
Entity <interrupt_control> compiled.
Entity <interrupt_control> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plbv46_slave_single> compiled.
Entity <plbv46_slave_single> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" in Library
uart_plb_v1_00_a.
Entity <user_logic> compiled.
Entity <user_logic> (Architecture <IMP>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd"
in Library uart_plb_v1_00_a.
Entity <uart_plb> compiled.
ERROR:HDLParsers:851 -
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 476. Formal
rx_sin of entity with no default value must be associated with an actual
value.
ERROR:EDK:2121 - Parse Errors encountered in HDL source
WARNING:EDK:3590 - Unable to delete temporary project file
C:\uart_plb\pcores\uart_plb.prj : 13
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
WARNING:EDK:2221 - Project file C:\uart_plb\pcores/uart_plb.prj already exists,
will be overwrite and removed afterward ...
resolving hierarchical inclusion of library proc_common_v3_00_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
INFO:EDK:3391 - Create temporary xst project file:
C:\uart_plb\pcores/uart_plb.prj
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
Package <family_support> compiled.
Package body <family_support> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
Package <proc_common_pkg> compiled.
Package body <proc_common_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
Entity <inferred_lut4> compiled.
Entity <inferred_lut4> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
Entity <muxf_struct> compiled.
Entity <muxf_struct> (Architecture <imp>) compiled.
Entity <muxf_struct_f> compiled.
Entity <muxf_struct_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_bit> compiled.
Entity <pf_counter_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
Entity <cntr_incr_decr_addn_f> compiled.
Entity <cntr_incr_decr_addn_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_f> compiled.
Entity <dynshreg_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder_bit> compiled.
Entity <pf_adder_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter> compiled.
Entity <pf_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter> compiled.
Entity <pf_occ_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <counter_bit> compiled.
Entity <counter_bit> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy> compiled.
Entity <or_muxcy> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy_f> compiled.
Entity <or_muxcy_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu_f> compiled.
Entity <srl_fifo_rbu_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
Package <family> compiled.
Package body <family> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter_top> compiled.
Entity <pf_occ_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_top> compiled.
Entity <pf_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder> compiled.
Entity <pf_adder> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
Entity <Counter> compiled.
Entity <Counter> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
Package <coregen_comp_defs> compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
uart_plb_v1_00_a.
Package <uart_components> compiled.
Package body <uart_components> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
Package <Common_Types> compiled.
Package body <Common_Types> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
Package <conv_funs_pkg> compiled.
Package body <conv_funs_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <async_fifo_fg> compiled.
Entity <async_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <sync_fifo_fg> compiled.
Entity <sync_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
Entity <blk_mem_gen_wrapper> compiled.
Entity <blk_mem_gen_wrapper> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
Entity <addsub> compiled.
Entity <addsub> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr> compiled.
Entity <direct_path_cntr> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr_ai> compiled.
Entity <direct_path_cntr_ai> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
Entity <down_counter> compiled.
Entity <down_counter> (Architecture <simulation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
Entity <eval_timer> compiled.
Entity <eval_timer> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
Entity <IPIF_Steer> compiled.
Entity <IPIF_Steer> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_steer128> compiled.
Entity <ipif_steer128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_mirror128> compiled.
Entity <ipif_mirror128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg> compiled.
Entity <ld_arith_reg> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg2> compiled.
Entity <ld_arith_reg2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot> compiled.
Entity <mux_onehot> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
Entity <or_bits> compiled.
Entity <or_bits> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
Entity <or_gate> compiled.
Entity <or_gate> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
Entity <pf_dpram_select> compiled.
Entity <pf_dpram_select> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
Entity <pselect> compiled.
Entity <pselect> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
Entity <pselect_mask> compiled.
Entity <pselect_mask> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
Entity <srl16_fifo> compiled.
Entity <srl16_fifo> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
Entity <SRL_FIFO> compiled.
Entity <SRL_FIFO> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo2> compiled.
Entity <srl_fifo2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo3> compiled.
Entity <srl_fifo3> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu> compiled.
Entity <srl_fifo_rbu> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
Entity <valid_be> compiled.
Entity <valid_be> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
Entity <or_with_enable_f> compiled.
Entity <or_with_enable_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_i_f> compiled.
Entity <dynshreg_i_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot_f> compiled.
Entity <mux_onehot_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_f> compiled.
Entity <srl_fifo_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
Entity <compare_vectors_f> compiled.
Entity <compare_vectors_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
Entity <counter_f> compiled.
Entity <counter_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
Entity <or_gate_f> compiled.
Entity <or_gate_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
Entity <soft_reset> compiled.
Entity <soft_reset> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
Entity <pselect_f> compiled.
Entity <pselect_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
Entity <or_gate128> compiled.
Entity <or_gate128> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
Package <ipif_pkg> compiled.
Package body <ipif_pkg> compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
Library uart_plb_v1_00_a.
Entity <baudrate> compiled.
Entity <baudrate> (Architecture <rtl>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
Library uart_plb_v1_00_a.
Entity <xmt> compiled.
Entity <xmt> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
Library uart_plb_v1_00_a.
Entity <fifo_generator_v8_1_8x16> compiled.
Entity <fifo_generator_v8_1_8x16> (Architecture <fifo_generator_v8_1_8x16_a>)
compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
Library uart_plb_v1_00_a.
Entity <tmo> compiled.
Entity <tmo> (Architecture <Behavioral>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
Library uart_plb_v1_00_a.
Entity <rcv> compiled.
Entity <rcv> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_address_decoder> compiled.
Entity <plb_address_decoder> (Architecture <IMP>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart.vhd" in
Library uart_plb_v1_00_a.
Entity <uart> compiled.
Entity <uart> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_slave_attachment> compiled.
Entity <plb_slave_attachment> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
Entity <interrupt_control> compiled.
Entity <interrupt_control> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plbv46_slave_single> compiled.
Entity <plbv46_slave_single> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" in Library
uart_plb_v1_00_a.
Entity <user_logic> compiled.
Entity <user_logic> (Architecture <IMP>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd"
in Library uart_plb_v1_00_a.
Entity <uart_plb> compiled.
ERROR:HDLParsers:851 -
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 476. Formal
rx_sin of entity with no default value must be associated with an actual
value.
ERROR:EDK:2121 - Parse Errors encountered in HDL source
WARNING:EDK:3590 - Unable to delete temporary project file
C:\uart_plb\pcores\uart_plb.prj : 13
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
WARNING:EDK:2221 - Project file C:\uart_plb\pcores/uart_plb.prj already exists,
will be overwrite and removed afterward ...
resolving hierarchical inclusion of library proc_common_v3_00_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
INFO:EDK:3391 - Create temporary xst project file:
C:\uart_plb\pcores/uart_plb.prj
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
Package <family_support> compiled.
Package body <family_support> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
Package <proc_common_pkg> compiled.
Package body <proc_common_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
Entity <inferred_lut4> compiled.
Entity <inferred_lut4> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
Entity <muxf_struct> compiled.
Entity <muxf_struct> (Architecture <imp>) compiled.
Entity <muxf_struct_f> compiled.
Entity <muxf_struct_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_bit> compiled.
Entity <pf_counter_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
Entity <cntr_incr_decr_addn_f> compiled.
Entity <cntr_incr_decr_addn_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_f> compiled.
Entity <dynshreg_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder_bit> compiled.
Entity <pf_adder_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter> compiled.
Entity <pf_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter> compiled.
Entity <pf_occ_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <counter_bit> compiled.
Entity <counter_bit> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy> compiled.
Entity <or_muxcy> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy_f> compiled.
Entity <or_muxcy_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu_f> compiled.
Entity <srl_fifo_rbu_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
Package <family> compiled.
Package body <family> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter_top> compiled.
Entity <pf_occ_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_top> compiled.
Entity <pf_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder> compiled.
Entity <pf_adder> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
Entity <Counter> compiled.
Entity <Counter> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
Package <coregen_comp_defs> compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
uart_plb_v1_00_a.
Package <uart_components> compiled.
Package body <uart_components> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
Package <Common_Types> compiled.
Package body <Common_Types> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
Package <conv_funs_pkg> compiled.
Package body <conv_funs_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <async_fifo_fg> compiled.
Entity <async_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <sync_fifo_fg> compiled.
Entity <sync_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
Entity <blk_mem_gen_wrapper> compiled.
Entity <blk_mem_gen_wrapper> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
Entity <addsub> compiled.
Entity <addsub> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr> compiled.
Entity <direct_path_cntr> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr_ai> compiled.
Entity <direct_path_cntr_ai> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
Entity <down_counter> compiled.
Entity <down_counter> (Architecture <simulation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
Entity <eval_timer> compiled.
Entity <eval_timer> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
Entity <IPIF_Steer> compiled.
Entity <IPIF_Steer> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_steer128> compiled.
Entity <ipif_steer128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_mirror128> compiled.
Entity <ipif_mirror128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg> compiled.
Entity <ld_arith_reg> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg2> compiled.
Entity <ld_arith_reg2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot> compiled.
Entity <mux_onehot> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
Entity <or_bits> compiled.
Entity <or_bits> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
Entity <or_gate> compiled.
Entity <or_gate> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
Entity <pf_dpram_select> compiled.
Entity <pf_dpram_select> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
Entity <pselect> compiled.
Entity <pselect> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
Entity <pselect_mask> compiled.
Entity <pselect_mask> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
Entity <srl16_fifo> compiled.
Entity <srl16_fifo> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
Entity <SRL_FIFO> compiled.
Entity <SRL_FIFO> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo2> compiled.
Entity <srl_fifo2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo3> compiled.
Entity <srl_fifo3> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu> compiled.
Entity <srl_fifo_rbu> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
Entity <valid_be> compiled.
Entity <valid_be> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
Entity <or_with_enable_f> compiled.
Entity <or_with_enable_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_i_f> compiled.
Entity <dynshreg_i_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot_f> compiled.
Entity <mux_onehot_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_f> compiled.
Entity <srl_fifo_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
Entity <compare_vectors_f> compiled.
Entity <compare_vectors_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
Entity <counter_f> compiled.
Entity <counter_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
Entity <or_gate_f> compiled.
Entity <or_gate_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
Entity <soft_reset> compiled.
Entity <soft_reset> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
Entity <pselect_f> compiled.
Entity <pselect_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
Entity <or_gate128> compiled.
Entity <or_gate128> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
Package <ipif_pkg> compiled.
Package body <ipif_pkg> compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
Library uart_plb_v1_00_a.
Entity <baudrate> compiled.
Entity <baudrate> (Architecture <rtl>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
Library uart_plb_v1_00_a.
Entity <xmt> compiled.
Entity <xmt> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
Library uart_plb_v1_00_a.
Entity <fifo_generator_v8_1_8x16> compiled.
Entity <fifo_generator_v8_1_8x16> (Architecture <fifo_generator_v8_1_8x16_a>)
compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
Library uart_plb_v1_00_a.
Entity <tmo> compiled.
Entity <tmo> (Architecture <Behavioral>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
Library uart_plb_v1_00_a.
Entity <rcv> compiled.
Entity <rcv> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_address_decoder> compiled.
Entity <plb_address_decoder> (Architecture <IMP>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart.vhd" in
Library uart_plb_v1_00_a.
Entity <uart> compiled.
Entity <uart> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_slave_attachment> compiled.
Entity <plb_slave_attachment> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
Entity <interrupt_control> compiled.
Entity <interrupt_control> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plbv46_slave_single> compiled.
Entity <plbv46_slave_single> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" in Library
uart_plb_v1_00_a.
Entity <user_logic> compiled.
Entity <user_logic> (Architecture <IMP>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd"
in Library uart_plb_v1_00_a.
Entity <uart_plb> compiled.
ERROR:HDLParsers:3312 -
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 490.
Undefined symbol 'tx_sout'.
ERROR:HDLParsers:1209 -
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 490.
tx_sout: Undefined symbol (last report in this block)
ERROR:HDLParsers:3312 -
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 491.
Undefined symbol 'rx_sin'.
ERROR:HDLParsers:1209 -
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 491. rx_sin:
Undefined symbol (last report in this block)
ERROR:HDLParsers:851 -
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 476. Formal
rx_sin of entity with no default value must be associated with an actual
value.
ERROR:EDK:2121 - Parse Errors encountered in HDL source
WARNING:EDK:3590 - Unable to delete temporary project file
C:\uart_plb\pcores\uart_plb.prj : 13
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
WARNING:EDK:2221 - Project file C:\uart_plb\pcores/uart_plb.prj already exists,
will be overwrite and removed afterward ...
resolving hierarchical inclusion of library proc_common_v3_00_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
INFO:EDK:3391 - Create temporary xst project file:
C:\uart_plb\pcores/uart_plb.prj
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
Package <family_support> compiled.
Package body <family_support> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
Package <proc_common_pkg> compiled.
Package body <proc_common_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
Entity <inferred_lut4> compiled.
Entity <inferred_lut4> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
Entity <muxf_struct> compiled.
Entity <muxf_struct> (Architecture <imp>) compiled.
Entity <muxf_struct_f> compiled.
Entity <muxf_struct_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_bit> compiled.
Entity <pf_counter_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
Entity <cntr_incr_decr_addn_f> compiled.
Entity <cntr_incr_decr_addn_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_f> compiled.
Entity <dynshreg_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder_bit> compiled.
Entity <pf_adder_bit> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter> compiled.
Entity <pf_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter> compiled.
Entity <pf_occ_counter> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
Entity <counter_bit> compiled.
Entity <counter_bit> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy> compiled.
Entity <or_muxcy> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
Entity <or_muxcy_f> compiled.
Entity <or_muxcy_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu_f> compiled.
Entity <srl_fifo_rbu_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
Package <family> compiled.
Package body <family> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_occ_counter_top> compiled.
Entity <pf_occ_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
Entity <pf_counter_top> compiled.
Entity <pf_counter_top> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
Entity <pf_adder> compiled.
Entity <pf_adder> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
Entity <Counter> compiled.
Entity <Counter> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
Package <coregen_comp_defs> compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
uart_plb_v1_00_a.
Package <uart_components> compiled.
Package body <uart_components> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
Package <Common_Types> compiled.
Package body <Common_Types> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
Package <conv_funs_pkg> compiled.
Package body <conv_funs_pkg> compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <async_fifo_fg> compiled.
Entity <async_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
Entity <sync_fifo_fg> compiled.
Entity <sync_fifo_fg> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
Entity <blk_mem_gen_wrapper> compiled.
Entity <blk_mem_gen_wrapper> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
Entity <addsub> compiled.
Entity <addsub> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr> compiled.
Entity <direct_path_cntr> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
Entity <direct_path_cntr_ai> compiled.
Entity <direct_path_cntr_ai> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
Entity <down_counter> compiled.
Entity <down_counter> (Architecture <simulation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
Entity <eval_timer> compiled.
Entity <eval_timer> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
Entity <IPIF_Steer> compiled.
Entity <IPIF_Steer> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_steer128> compiled.
Entity <ipif_steer128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
Entity <ipif_mirror128> compiled.
Entity <ipif_mirror128> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg> compiled.
Entity <ld_arith_reg> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
Entity <ld_arith_reg2> compiled.
Entity <ld_arith_reg2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot> compiled.
Entity <mux_onehot> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
Entity <or_bits> compiled.
Entity <or_bits> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
Entity <or_gate> compiled.
Entity <or_gate> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
Entity <pf_dpram_select> compiled.
Entity <pf_dpram_select> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
Entity <pselect> compiled.
Entity <pselect> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
Entity <pselect_mask> compiled.
Entity <pselect_mask> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
Entity <srl16_fifo> compiled.
Entity <srl16_fifo> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
Entity <SRL_FIFO> compiled.
Entity <SRL_FIFO> (Architecture <IMP>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo2> compiled.
Entity <srl_fifo2> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo3> compiled.
Entity <srl_fifo3> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_rbu> compiled.
Entity <srl_fifo_rbu> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
Entity <valid_be> compiled.
Entity <valid_be> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
Entity <or_with_enable_f> compiled.
Entity <or_with_enable_f> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
Entity <dynshreg_i_f> compiled.
Entity <dynshreg_i_f> (Architecture <behavioral>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
Entity <mux_onehot_f> compiled.
Entity <mux_onehot_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
Entity <srl_fifo_f> compiled.
Entity <srl_fifo_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
Entity <compare_vectors_f> compiled.
Entity <compare_vectors_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
Entity <counter_f> compiled.
Entity <counter_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
Entity <or_gate_f> compiled.
Entity <or_gate_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
Entity <soft_reset> compiled.
Entity <soft_reset> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
Entity <pselect_f> compiled.
Entity <pselect_f> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
Entity <or_gate128> compiled.
Entity <or_gate128> (Architecture <imp>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
Package <ipif_pkg> compiled.
Package body <ipif_pkg> compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
Library uart_plb_v1_00_a.
Entity <baudrate> compiled.
Entity <baudrate> (Architecture <rtl>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
Library uart_plb_v1_00_a.
Entity <xmt> compiled.
Entity <xmt> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
Library uart_plb_v1_00_a.
Entity <fifo_generator_v8_1_8x16> compiled.
Entity <fifo_generator_v8_1_8x16> (Architecture <fifo_generator_v8_1_8x16_a>)
compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
Library uart_plb_v1_00_a.
Entity <tmo> compiled.
Entity <tmo> (Architecture <Behavioral>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
Library uart_plb_v1_00_a.
Entity <rcv> compiled.
Entity <rcv> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_address_decoder> compiled.
Entity <plb_address_decoder> (Architecture <IMP>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart.vhd" in
Library uart_plb_v1_00_a.
Entity <uart> compiled.
Entity <uart> (Architecture <rtl>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plb_slave_attachment> compiled.
Entity <plb_slave_attachment> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
Entity <interrupt_control> compiled.
Entity <interrupt_control> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
Entity <plbv46_slave_single> compiled.
Entity <plbv46_slave_single> (Architecture <implementation>) compiled.
Compiling vhdl file
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" in Library
uart_plb_v1_00_a.
Entity <user_logic> compiled.
Entity <user_logic> (Architecture <IMP>) compiled.
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd"
in Library uart_plb_v1_00_a.
Entity <uart_plb> compiled.
Entity <uart_plb> (Architecture <IMP>) compiled.
 
 
Analyzing HDL attributes ...
Entity name = uart_plb
INFO:EDK:1607 - IPTYPE set to value : PERIPHERAL
INFO:EDK:1511 - IMP_NETLIST set to value : TRUE
INFO:EDK:1486 - HDL set to value : VHDL
WARNING:EDK:3590 - Unable to delete temporary project file
C:\uart_plb\pcores\uart_plb.prj : 13
WARNING:EDK:2140 - Peripheral name mismatch, no MPD merge will be processed!
 
WARNING:EDK:2065 - PARAMETER:_NUM_SLAVES - SLAVE PLBV46 parameter is not defined
in the HDL source
INFO:EDK:1631 - Infer bus clock [SPLB_Clk] for bus interface SPLB ...
Copying file uart_components.vhd to
C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
Copying file baud.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
Copying file tmo.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
Copying file fifo_generator_v8_1_8x16.vhd to
C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
Copying file rx.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
Copying file tx.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
Copying file uart.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
Copying file user_logic.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
Copying file uart_plb.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
 
Thank you for using Create and Import Peripheral Wizard! Please find your
imported peripheral under C:\uart_plb\pcores\uart_plb_v1_00_a.
 
Summary:
 
Logical library : uart_plb_v1_00_a
Version : 1.00.a
Bus interface(s) : SPLB
 
The following sub-directories will be created:
 
- uart_plb_v1_00_a\data
- uart_plb_v1_00_a\doc
- uart_plb_v1_00_a\hdl
- uart_plb_v1_00_a\hdl\vhdl
- uart_plb_v1_00_a\netlist
 
 
The following HDL source files will be copied into the uart_plb_v1_00_a\hdl\vhdl
directory:
 
- uart_components.vhd
- baud.vhd
- tmo.vhd
- fifo_generator_v8_1_8x16.vhd
- rx.vhd
- tx.vhd
- uart.vhd
- user_logic.vhd
- uart_plb.vhd
 
The following files will be created under the uart_plb_v1_00_a\data directory:
 
- uart_plb_v2_1_0.mpd
- uart_plb_v2_1_0.pao
 
- uart_plb_v2_1_0.bbd
 
The following netlist file(s) will be copied into the uart_plb_v1_00_a\netlist
directory:
 
- fifo_generator_v8_1_8x16.ngc
 
The following document file(s) will be copied into the uart_plb_v1_00_a\doc
directory:
 
- readme.txt
 
 
/uart_plb/trunk/pcores/uart_plb_v1_00_a/devl/ipwiz.opt
0,0 → 1,10
-batch
-create uart_plb
-ver 1.00.a
-dir "C:\uart_plb"
-lang vhdl
-bus plbv46 s
-isc 1
-intrn 1 1
-reg 8
-xps
/uart_plb/trunk/pcores/uart_plb_v1_00_a/devl/README.txt
0,0 → 1,246
TABLE OF CONTENTS
1) Peripheral Summary
2) Description of Generated Files
3) Description of Used IPIC Signals
4) Description of Top Level Generics
 
 
================================================================================
* 1) Peripheral Summary *
================================================================================
Peripheral Summary:
 
XPS project / EDK repository : C:\uart_plb
logical library name : uart_plb_v1_00_a
top name : uart_plb
version : 1.00.a
type : PLB (v4.6) slave
features : slave attachment
interrupt control
user s/w registers
 
Address Block for User Logic and IPIF Predefined Services
 
user logic slave space : C_BASEADDR + 0x00000000
: C_BASEADDR + 0x000000FF
interrupt control space : C_BASEADDR + 0x00000100
: C_BASEADDR + 0x000001FF
 
 
================================================================================
* 2) Description of Generated Files *
================================================================================
- HDL source file(s)
 
hdl/vhdl/uart_plb.vhd
 
This is the template file for your peripheral's top design entity. It
configures and instantiates the corresponding design units in the way you
indicated in the wizard GUI and hooks it up to the stub user logic where
the actual functionalites should get implemented. You are not expected to
modify this template file except certain marked places for adding user
specific generics and ports.
 
vhdl/user_logic.vhd
 
This is the template file for the stub user logic design entity, either in
VHDL or Verilog, where the actual functionalities should get implemented.
Some sample code snippet may be provided for demonstration purpose.
 
- XPS interface file(s)
 
data/uart_plb_v2_1_0.mpd
 
This Microprocessor Peripheral Description file contains information of the
interface of your peripheral, so that other EDK tools can recognize your
peripheral.
 
data/uart_plb_v2_1_0.pao
 
This Peripheral Analysis Order file defines the analysis order of all the HDL
source files that are used to compile your peripheral.
 
- Other misc file(s)
 
devl/ipwiz.opt
 
This is the option setting file for the wizard batch mode, which should
generate the same result as the wizard GUI mode.
 
devl/README.txt
 
This README file for your peripheral.
 
devl/ipwiz.log
 
This is the log file by operating on this wizard.
 
 
================================================================================
* 3) Description of Used IPIC Signals *
================================================================================
For more information (usage, timing diagrams, etc.) regarding the IPIC signals
used in the templates, please refer to the following specifications (under
%XILINX_EDK%\doc for windows or $XILINX_EDK/doc for solaris and linux):
proc_ip_ref_guide.pdf - Processor IP Reference Guide (chapter 4 IPIF)
user_core_templates_ref_guide.pdf - User Core Templates Reference Guide
 
Bus2IP_Clk
Synchronization clock provided to the user logic. All IPIC signals are
synchronous to this clock. It is identical to the input <bus>_Clk signal of
the peripheral. No additional buffering is provided on the clock; it is
passed through as is.
 
Bus2IP_Reset
Active high reset used by the user logic. It is asserted whenever the
<bus>_Rst signal asserts or whenever there is a software-programmed reset
(if the soft reset block is included).
 
Bus2IP_Data
Write data bus to the user logic. Write data is accepted by the user logic
during a write operation by assertion of the write acknowledgement signal
and the rising edge of the Bus2IP_Clk.
 
Bus2IP_BE
Byte Enable qualifiers for the requested read or write operation to the user
logic. A bit in the Bus2IP_BE set to '1' indicates that the associated byte
lane contains valid data. For example, if Bus2IP_BE = 0011, this indicates
that byte lanes 2 and 3 contain valid data.
 
Bus2IP_RdCE
Active high chip enable bus to the user logic. These chip enables are only
asserted during active read transaction requests with the target address
space and in conjunction with the corresponding sub-address within the
space. These are typically used for user logic readable registers selection.
 
Bus2IP_WrCE
Active high chip enable bus to the user logic. These chip enables are
asserted only during active write transaction requests with the target
address space and in conjunction with the corresponding sub-address within
the space. Typically used for user logic writable registers selection.
 
IP2Bus_Data
Output read data bus from the user logic; data is qualified with the
assertion of IP2Bus_RdAck signal and the rising edge of the Bus2IP_Clk.
 
IP2Bus_RdAck
Active high read data qualifier providing the read acknowledgement from the
user logic. Read data on the IP2Bus_Data bus is deemed valid at the rising
edge of the Bus2IP_Clk and IP2Bus_RdAck asserted high by the user logic. For
immediate acknowledgement (such as for a register read), this signal can be
tied to '1'. Wait states can be inserted in the transaction by delaying the
assertion of the acknowledgement.
 
IP2Bus_WrAck
Active high write data qualifier providing the write acknowledgement from
the user logic. Write data on the Bus2IP_Data bus is deemed accepted by the
user logic at the rising edge of the Bus2IP_Clk and IP2Bus_WrAck asserted
high by the user logic. For immediate acknowledgement (such as for a
register write), this signal can be tied to '1'. Wait states can be inserted
in the transaction by delaying the assertion of the acknowledgement.
 
IP2Bus_Error
Active high signal indicating the user logic has encountered an error with
the requested operation. It is asserted in conjunction with the read/write
acknowledgement signal(s).
 
IP2Bus_IntrEvent
An output from the user logic to the IPIF that consists of interrupt event
signals to be detected and latched inside the IPIF.
 
================================================================================
* 4) Description of Top Level Generics *
================================================================================
C_BASEADDR/C_HIGHADDR
These two generics are used to define the memory mapped address space for
the peripheral registers, including Soft Reset register, Interrupt Source
Controller registers, Read/Write FIFO control/data registers, user logic
software accessible registers and etc., but excluding those user logic
memory spaces if ever existed. When instantiation, the address space
size determined by these two generics must be a power of 2 (e.g. 2^k =
C_HIGHADDR - C_BASEADDR + 1), a factor of C_BASEADDR and larger than the
minimum size as indicated in the template.
 
C_SPLB_AWIDTH
This is the slave interface address bus width for Processor Local Bus
version 4.6 (PLBv46). Value can be assigned automatically by EDK
tooling during system creation.
 
C_SPLB_DWIDTH
This is the slave interface data bus width for Processor Local Bus
version 4.6 (PLBv46). Value can be assigned automatically by EDK
tooling during system creation.
 
C_SPLB_NUM_MASTERS
This indicates to the slave interface the number of PLBv46 masters
present. Value can be assigned automatically by EDK tooling during
system creation.
 
C_SPLB_MID_WIDTH
This indicates to the slave interface the number of bits required
for the PLB_masterID input bus. It is an integer value equal to
log2(C_SPLB_NUM_MASTERS). Value will be assigned automatically by
EDK tooling during system creation.
 
C_SPLB_NATIVE_DWIDTH
This indicates to the slave interface the native bit width of the
internal data bus of the peripheral. Some peripheral will require
the value of this parameter to be fixed, while others might have
selectable native data widths.
 
C_SPLB_P2P
This indicates to the slave interface when it is exclusively attached
to a PLBv46 bus via a Point to Point interconnect scheme. In this
scenario, the slave interface may be able to reduce resource utilization
by eliminating address decode function and modifying interface behavior
to allow for a reduction in latency.
 
C_SPLB_SUPPORT_BURSTS
This indicates to the associated PLBv46 bus that this slave interface
support burst transfers to improve performance.
 
C_SPLB_SMALLEST_MASTER
This indicates the smallest native data width of any master on the
corresponding PLBv46 bus that may access the slave interface. It allows
optimizations within the slave interface logic if narrower masters don't
have to be supported for that application.
 
C_SPLB_CLK_PERIOD_PS
This is the period of the PLBv46 bus clock (in picoseconds) for the
corresponding PLBv46 slave interface attachment. It has been defined
for use by peripheral that needs to know the bus clock rate to improve
certain functions such as internal timers.
 
C_INCLUDE_DPHASE_TIMER
This indicates if the data phase timer is used or not. The value of
0 will exclude the timer. The value of 1 includes the timer.
If C_INCLUDE_DPHASE_TIMER = 1 and after 128 SPLB_Clk cycles, as
measured from the assertion of Sl_AddrAck, the User IP does not
respond with either an IP2Bus_RdAck or IP2Bus_WrAck the
plbv46_slave_single will de-assert the User IP cycle request
signals, Bus2IP_CS and Bus2IP_RdCE or Bus2IP_WrCE, and will assert
Sl_rdDAck with Sl_rdDBus=zero for a read cycle or Sl_wrDAck for
a write cycle. This will gracefully terminate the cycle. Note
that the requesting master will have no knowledge that the data
phase of the PLB request was terminated in this manner.
 
C_FAMILY
This is to set the target FPGA architecture, s.t. virtex6, etc.
 
 
================================================================================
* 5) Location to documentation of dependent libraries *
* *
* In general, the documentation is located under: *
* $XILINX_EDK/hw/XilinxProcessorIPLib/pcores/$libName/doc *
* *
================================================================================
proc_common_v3_00_a
No documentation for this library
 
plbv46_slave_single_v1_01_a
C:\uart_plb\C:\Xilinx\13.1\ISE_DS\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_slave_single_v1_01_a\doc\plbv46_slave_single.pdf
 
interrupt_control_v2_01_a
C:\uart_plb\C:\Xilinx\13.1\ISE_DS\EDK\hw\XilinxProcessorIPLib\pcores\interrupt_control_v2_01_a\doc\interrupt_control.pdf
 
/uart_plb/trunk/pcores/uart_plb_v1_00_a/devl/create.cip
0,0 → 1,60
CipWiz::SetVersion "13.1";
CipWiz::SetFlow "CREATE";
CipWiz::SetParameter "ProjectDir" "C:\uart_plb";
CipWiz::SetParameter "IpLongDescription" "xilinx PLB bus UART";
CipWiz::SetParameter "IpName" "uart_plb";
CipWiz::SetParameter "IpVersion" "1.00.a";
CipWiz::SetParameter "HdlLanguage" "1";
CipWiz::SetParameter "BusType" "64";
CipWiz::SetParameter "IncludeIseFile" "FALSE";
CipWiz::SetParameter "IncludeXpsFile" "TRUE";
CipWiz::SetParameter "IncludeSoftwareDriverFile" "FALSE";
CipWiz::SetParameter "IncludeBFMSimulationFile" "FALSE";
CipWiz::SetParameter "IncludeSlaveAttachmentSupport" "TRUE";
CipWiz::SetParameter "IncludeMasterAttachmentSupport" "FALSE";
CipWiz::SetParameter "IncludeMirResetRegister" "FALSE";
CipWiz::SetParameter "IncludeFifoSupport" "FALSE";
CipWiz::SetParameter "IncludeInterruptSupport" "TRUE";
CipWiz::SetParameter "IncludeDMASupport" "FALSE";
CipWiz::SetParameter "IncludeBurstSupport" "FALSE";
CipWiz::SetParameter "IncludeUserRegisterSupport" "TRUE";
CipWiz::SetParameter "IncludeUserMasterSupport" "FALSE";
CipWiz::SetParameter "IncludeUserMemorySupport" "FALSE";
CipWiz::SetParameter "UseSlaveBurst" "FALSE";
CipWiz::SetParameter "UseMasterBurst" "FALSE";
CipWiz::SetParameter "UseReadFifo" "FALSE";
CipWiz::SetParameter "UseWriteFifo" "FALSE";
CipWiz::SetParameter "UseReadFifoPacketMode" "FALSE";
CipWiz::SetParameter "UseWriteFifoPacketMode" "FALSE";
CipWiz::SetParameter "UseReadFifoVacancyCalculation" "FALSE";
CipWiz::SetParameter "UseWriteFifoVacancyCalculation" "FALSE";
CipWiz::SetParameter "WriteFifoDataWidth" "0";
CipWiz::SetParameter "WriteFifoDepth" "4";
CipWiz::SetParameter "ReadFifoDataWidth" "0";
CipWiz::SetParameter "ReadFifoDepth" "4";
CipWiz::SetParameter "UseDeviceISC" "FALSE";
CipWiz::SetParameter "UseDevicePriorityEncoder" "FALSE";
CipWiz::SetParameter "NumberOfInterrupt" "1";
CipWiz::SetParameter "TypeOfInterrupt" "1";
CipWiz::SetParameter "TypeOfDMA" "0";
CipWiz::SetParameter "UseFastTransferProtocol" "FALSE";
CipWiz::SetParameter "BurstMaxSize" "0";
CipWiz::SetParameter "BurstPageSize" "0";
CipWiz::SetParameter "IncludeDPhaseTimer" "FALSE";
CipWiz::SetParameter "SlaveSideNativeDataWidth" "32";
CipWiz::SetParameter "SlaveBurstWriteBufferDepth" "0";
CipWiz::SetParameter "MasterSideNativeDataWidth" "0";
CipWiz::SetParameter "NumberOfUserRegister" "8";
CipWiz::SetParameter "UserRegisterDataWidth" "0";
CipWiz::SetParameter "WriteMode" "0";
CipWiz::SetParameter "HasInputFSL" "0";
CipWiz::SetParameter "HasOutputFSL" "0";
CipWiz::SetParameter "TotalInputData" "0";
CipWiz::SetParameter "TotalOutputData" "0";
CipWiz::SetParameter "NumOfInputArgs" "0";
CipWiz::SetParameter "NumOfOutputArgs" "0";
CipWiz::SetParameter "NumberOfUserMemoryBank" "0";
CipWiz::SetParameter "UserMemoryBankDataWidth" "0";
CipWiz::SetParameter "IpicSelectedPortNames" "Bus2IP_Clk|Bus2IP_Reset|Bus2IP_Data|Bus2IP_BE|Bus2IP_RdCE|Bus2IP_WrCE|IP2Bus_Data|IP2Bus_RdAck|IP2Bus_WrAck|IP2Bus_Error|IP2Bus_IntrEvent|";
CipWiz::SetParameter "UserLogicModuleName" "0";
CipWiz::SetParameter "TypeOfUserLogicSource" "user_logic";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.