URL
https://opencores.org/ocsvn/versatile_fifo/versatile_fifo/trunk
Subversion Repositories versatile_fifo
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 1 to Rev 2
- ↔ Reverse comparison
Rev 1 → Rev 2
/versatile_fifo/trunk/rtl/verilog/copyright.v
0,0 → 1,41
////////////////////////////////////////////////////////////////////// |
//// //// |
//// Versatile counter //// |
//// //// |
//// Description //// |
//// Versatile counter, a reconfigurable binary, gray or LFSR //// |
//// counter //// |
//// //// |
//// To Do: //// |
//// - add LFSR with more taps //// |
//// //// |
//// Author(s): //// |
//// - Michael Unneback, unneback@opencores.org //// |
//// ORSoC AB //// |
//// //// |
////////////////////////////////////////////////////////////////////// |
//// //// |
//// Copyright (C) 2009 Authors and OPENCORES.ORG //// |
//// //// |
//// This source file may be used and distributed without //// |
//// restriction provided that this copyright statement is not //// |
//// removed from the file and that any derivative work contains //// |
//// the original copyright notice and the associated disclaimer. //// |
//// //// |
//// This source file is free software; you can redistribute it //// |
//// and/or modify it under the terms of the GNU Lesser General //// |
//// Public License as published by the Free Software Foundation; //// |
//// either version 2.1 of the License, or (at your option) any //// |
//// later version. //// |
//// //// |
//// This source is distributed in the hope that it will be //// |
//// useful, but WITHOUT ANY WARRANTY; without even the implied //// |
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// |
//// PURPOSE. See the GNU Lesser General Public License for more //// |
//// details. //// |
//// //// |
//// You should have received a copy of the GNU Lesser General //// |
//// Public License along with this source; if not, download it //// |
//// from http://www.opencores.org/lgpl.shtml //// |
//// //// |
////////////////////////////////////////////////////////////////////// |
/versatile_fifo/trunk/rtl/verilog/Makefile
0,0 → 1,15
dual_port_ram: |
vppp --simple versatile_fifo_dual_port_ram.v > versatile_fifo_dual_port_ram_sc_sw.v |
|
svn_export_versatile_counter: |
svn export http://opencores.org/ocsvn/versatile_counter/versatile_counter/trunk/rtl/verilog/copyright.v |
svn export http://opencores.org/ocsvn/versatile_counter/versatile_counter/trunk/rtl/verilog/lfsr_polynom.v |
svn export http://opencores.org/ocsvn/versatile_counter/versatile_counter/trunk/rtl/verilog/versatile_counter.v |
|
export: svn_export_versatile_counter |
|
gray_counter: |
cp gray_counter_defines.v versatile_counter_defines.v |
vpp versatile_counter.v > tmp1.v |
vppp --simple tmp1.v | cat copyright.v - > gray_counter.v |
|
/versatile_fifo/trunk/rtl/verilog/versatile_fifo_dual_port_ram.v
0,0 → 1,89
// true dual port RAM, sync |
module versatile_fifo_dual_port_ram_dc_2w |
( |
// A side |
d_a, |
`ifdef DW |
q_a, |
`endif |
adr_a, |
we_a, |
`ifdef DC |
clk_a, |
`endif |
// B side |
q_b |
adr_b, |
`ifdef DW |
d_b, |
we_b, |
`endif |
`ifdef DC |
clk_b |
`else |
clk |
`endif |
); |
|
parameter DATA_WIDTH = 8; |
parameter ADDR_WIDTH = 9; |
|
input [(DATA_WIDTH-1):0] data_a; |
input [(ADDR_WIDTH-1):0] addr_a; |
input [(ADDR_WIDTH-1):0] addr_b; |
input we_a; |
output reg [(DATA_WIDTH-1):0] q_b; |
`ifdef DW |
input [(DATA_WIDTH-1):0] data_b; |
output reg [(DATA_WIDTH-1):0] q_a; |
input we_b; |
`endif |
`ifdef DC |
input clk_a, clk_b; |
`else |
input clk; |
`endif |
|
// Declare the RAM variable |
reg [DATA_WIDTH-1:0] ram [2**ADDR_WIDTH-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/; |
|
`ifdef DC |
always @ (posedge clk_a) |
`else |
always @ (posedge clk) |
`endif |
`ifdef DW |
begin // Port A |
if (we_a) |
begin |
ram[addr_a] <= data_a; |
q_a <= data_a; |
end |
else |
q_a <= ram[addr_a]; |
end |
`else |
if (we_a) |
ram[addr_a] <= data_a; |
`endif |
|
`ifdef DC |
always @ (posedge clk_a) |
`else |
always @ (posedge clk) |
`endif |
`ifdef DW |
begin // Port b |
if (we_b) |
begin |
ram[addr_b] <= data_b; |
q_b <= data_b; |
end |
else |
q_b <= ram[addr_b]; |
end |
`else // !`ifdef DW |
q_b <= ram[addr_b]; |
`endif // !`ifdef DW |
|
endmodule // true_dual_port_ram_sync |
/versatile_fifo/trunk/doc/src/sync.png
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
versatile_fifo/trunk/doc/src/sync.png
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: versatile_fifo/trunk/doc/src/async.png
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: versatile_fifo/trunk/doc/src/async.png
===================================================================
--- versatile_fifo/trunk/doc/src/async.png (nonexistent)
+++ versatile_fifo/trunk/doc/src/async.png (revision 2)
versatile_fifo/trunk/doc/src/async.png
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: versatile_fifo/trunk/doc/src/overview.dia
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: versatile_fifo/trunk/doc/src/overview.dia
===================================================================
--- versatile_fifo/trunk/doc/src/overview.dia (nonexistent)
+++ versatile_fifo/trunk/doc/src/overview.dia (revision 2)
versatile_fifo/trunk/doc/src/overview.dia
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: versatile_fifo/trunk/doc/src/lego.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: versatile_fifo/trunk/doc/src/lego.jpg
===================================================================
--- versatile_fifo/trunk/doc/src/lego.jpg (nonexistent)
+++ versatile_fifo/trunk/doc/src/lego.jpg (revision 2)
versatile_fifo/trunk/doc/src/lego.jpg
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: versatile_fifo/trunk/doc/src/versatile_fifo.odt
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: versatile_fifo/trunk/doc/src/versatile_fifo.odt
===================================================================
--- versatile_fifo/trunk/doc/src/versatile_fifo.odt (nonexistent)
+++ versatile_fifo/trunk/doc/src/versatile_fifo.odt (revision 2)
versatile_fifo/trunk/doc/src/versatile_fifo.odt
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: versatile_fifo/trunk/doc/src/sync.dia
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: versatile_fifo/trunk/doc/src/sync.dia
===================================================================
--- versatile_fifo/trunk/doc/src/sync.dia (nonexistent)
+++ versatile_fifo/trunk/doc/src/sync.dia (revision 2)
versatile_fifo/trunk/doc/src/sync.dia
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: versatile_fifo/trunk/doc/src/async.dia
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: versatile_fifo/trunk/doc/src/async.dia
===================================================================
--- versatile_fifo/trunk/doc/src/async.dia (nonexistent)
+++ versatile_fifo/trunk/doc/src/async.dia (revision 2)
versatile_fifo/trunk/doc/src/async.dia
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: versatile_fifo/trunk/doc/src/overview.png
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: versatile_fifo/trunk/doc/src/overview.png
===================================================================
--- versatile_fifo/trunk/doc/src/overview.png (nonexistent)
+++ versatile_fifo/trunk/doc/src/overview.png (revision 2)
versatile_fifo/trunk/doc/src/overview.png
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property