OpenCores
URL https://opencores.org/ocsvn/wb_z80/wb_z80/trunk

Subversion Repositories wb_z80

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 1 to Rev 2
    Reverse comparison

Rev 1 → Rev 2

/trunk/rtl/memstate.v
0,0 → 1,878
///////////////////////////////////////////////////////////////////////////////////////////////////
// //
// file name: memstate.v //
// description: memory opertions for z80 //
// project: wb_z80 //
// //
// Author: B.J. Porcella //
// e-mail: bporcella@sbcglobal.net //
// //
// //
// //
///////////////////////////////////////////////////////////////////////////////////////////////////
// //
// Copyright (C) 2000-2002 B.J. Porcella //
// Real Time Solutions //
// //
// //
// This source file may be used and distributed without //
// restriction provided that this copyright statement is not //
// removed from the file and that any derivative work contains //
// the original copyright notice and the associated disclaimer. //
// //
// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //
// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //
// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //
// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //
// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //
// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //
// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //
// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //
// POSSIBILITY OF SUCH DAMAGE. //
// //
//-------1---------2---------3--------Comments on file -------------7---------8---------9--------0
// The memory state controller controls the wb bus, and provides address sequencing.
// Insructions are fetched in order (using PC) until the istate machine indicates that
// a complete instruction is in the first pipline stage (ir1). In general, operands are being
// fetched (stored) to satisfy ir1 while concurrently instructions are being executed from ir2.
// this situation can result in a number of potential hazards. As an example, if the ir2
// instruction changes the flag register and the ir1 instruction is a conditional jump,
// a hazard is generated by the hazard logic, and execution of the ir1 operation is delayed
// until the completion of the flag update.
//
// Reset starts execution at 0.
// The PC and SP are described in this file. modifications to other index registers -
// HL IX and IY are computed here --
// For the block moves address updates are computed here -- and commanded here.
// Strobes for the second address update are generally co-incident with count updates, but
// we provide seperate strobe update lines for clarity.
//
// BASIC ARCHITECTURE OF THIS FILE pc and sp not shown, but are inputs to src mux.
// _____ and may be updated from adder output.
// | |
// | | pc-1 register is required to implement relative jumps.
// | |
// _____ |lit | |\
// | | | | | \
// | | |src2 | | \ _____ _____
// | | | |----->| | | | | |
// |src | |_____| |adder|------->| | | |
// |mux | | | | | | |
// | |------------------->| / |2/1 |------->|wb |
// | | | | / |mux | |adr |
// |_____| | |/ | | | |
// ------------------->| | | |
// |_____| |_____|
//
//
//
//
// Operand Stores:
// At first cut, I thought I'ld execute operand stores immediately from the memory sequencer
// (essentially before ir2 got the store data). While this might be modestly faster in
// systems that take multiple clocks to complete a memory store, On consideration, I decided
// to forgo the extra speed for conceptual simplicity.... execute operand stores on op_ph1,
// and let the inst_exec engine suply the operand.
//
// On second thought, above is not only wastful of time, but also inconsistent with the overall
// schems of things - and so somewhat more complex. If we simply execute the OS from ir1,
// There is less state to contdend with, as well as extra speed.
//
// Block Moves fundamentally execute from ir2. We initiate the first operand fetch from ir1.
//
// 3/18/2004 Second time through. In impleenting the execution logic it became clear that
// there were "minor" problems with the handling of the DD and FD prefix insts (especially
// DDCD and FDCB --- collectively called PFxCB below. On review, I had to question the
// value of "breaking up" the ir0 execution engine between the istate sequencer and the
// memstate sequencer. While I dislike state sequencers of much more than 16 states --
//
//
//
// Hazards:
// There are 2 kinds of hazards: mem_hazard => we are storing into the next instruction location
// reg_hazard => we are modifying a register (ir2) that we are using
// here (ir1)
// In the former case, we throw out the instruction that arrives on the next tick, and restart the
// instruction pipeline, In the latter case, we simply wait a tick for the ir2 operaton to
// complete before starting the ir1 operation
//-------1---------2---------3--------CVS Log -----------------------7---------8---------9--------0
//
// $Id: memstate.v,v 1.1.1.1 2004-04-13 23:50:05 bporcella Exp $
//
// $Date: 2004-04-13 23:50:05 $
// $Revision: 1.1.1.1 $
// $Author: bporcella $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
//
//
//-------1---------2---------3--------Module Name and Port List------7---------8---------9--------0
module memstate(wb_adr, wb_we, wb_cyc, wb_stb, wb_lock, wb_tga_io, add_out,
use_sp,use_a,use_b,use_c,use_d,use_e,use_h,use_l,use_flags, // these for hazard detection
ir1, ir1cb, ir1ed, ir1dd, ir1fd, ir1_exec,
nn, hl, ix, iy, de, bc,
stb_hl, stb_ix, stb_iy, stb_de, upd_blk_cnt,
beq0, ceq0,
hazard, wb_ack, clk, rst
 
 
 
 
);
 
//-------1---------2---------3--------Output Ports---------6---------7---------8---------9--------0
 
output [15:0] wb_adr;
output wb_we;
output wb_cyc;
output wb_stb;
output wb_lock; // bit set and clear insts should be atomic - could matter sometime
output wb_tga_io;
output [15:0] add_out; // output of adder (may not wb_adr)
 
output use_sp; // these for hazard detection
output use_a;
output use_b;
output use_c;
output use_d
output use_e;
output use_h;
output use_l;
output use_flags;
 
 
 
 
 
 
 
 
//-------1---------2---------3--------Input Ports----------6---------7---------8---------9--------0
 
input [9:0] ir1;
input ir1cb;
input ir1ed;
input ir1_exec; // ir1 data is ready to execute.
input mem_hazard; // throw out next inst and restart inst pipeline
input reg_hazard; // wait a tick to execute. (this signal is slow)
input beq0, ceq0;
 
 
 
 
//-------1---------2---------3--------Parameters-----------6---------7---------8---------9--------0
`include "opcodes.v" // states of the main memory sequencer
parameter MEM_IDLE = 4'h0,
MEM_HALT = 4'h1, // go here after a HALT instruction
MEM_IF1 = 4'h2, // start the instruction fetch pipt
MEM_IF2 = 4'h3, // continue the if pipe
MEM_EXEC = 4'h4, // main ir1 execution state - decode and do what is needed
MEM_OP1 = 4'h5, // go here if instruction needs more than 1 operand operation
MEM_OP2 = 4'h6, // go here if OP1 not sufficent (rare)
MEM_OP3 = 4'h7, // go here if OP2 not sufficient (rare but used by blk rpt
MEM_RPT = 4'h8, // go here for block repeat tests (must allow interrupts)
MEM_INT1 = 4'ha, // int sequence is messy -- seperate states for that
MEM_INT2 = 4'hb,
MEM_INT3 = 4'hc;
MEM_INT4 = 4'hd,
MEM_INT5 = 4'he;
parameter TAG_IO = 2'b01; // need to review general wb usage to undrstand how best to
TAG_INT = 2'b10; // document this.
//-------1---------2---------3--------Wires----------------6---------7---------8---------9--------0
 
wire of8;
wire of16;
wire os8
wire os16;
wire rmw8;
wire jmp;
wire call;
wire ret;
wire ioi; // io input
wire ioo; // io output
wire blk_mv;
wire blk_cmp;
wire blk_in;
wire blk_out;
wire use_sp;
wire use_pc;
wire use_hl;
wire use_de;
wire use_bc;
wire use_flags;
wire cb_mem;
wire br_test8t; // branch test true (8 test field)
wire br_test4t; // branch test true (4 test field)
wire src_mux;
wire src2;
wire alu;
wire mux21;
wire mem_idle ;
wire mem_halt ;
wire mem_if1 ;
wire mem_if2 ;
wire mem_exec ;
wire mem_op1 ;
wire mem_op2 ;
wire mem_op3 ;
wire mem_int1 ;
wire mem_int2 ;
wire mem_int3 ;
wire mem_int4 ;
wire mem_int5 ;
wire exec_if; // perform an instruction fetch on mem_exec (instruction is reg<-reg)
wire ofos;
wire any_os; // most terms above only valid on mem_exec this includes all stores
wire wb_rdy;
//-------1---------2---------3--------Registers------------6---------7---------8---------9--------0
 
reg [15:0] pc, pc_min1;
reg [15:0] sp;
reg [15:0] wb_adr;
reg wb_we;
reg wb_cyc;
reg wb_stb;
reg wb_lock;
reg wb_tga_io
 
 
 
 
 
// don't forget that as 1r1 is executed it is transferred to ir2. Anything I need to know
// about subsequent operations must be stored.
reg [ mem_state
reg of16_reg, os16_reg, rmw8_reg, call_reg, ret_reg, ioi;
reg push_reg;
reg pop_reg;
//-------1---------2---------3--------Assignments----------6---------7---------8---------9--------0
//
// ir is 10 bits most significant codes ir[9:8] = { EDgrp, CBgrp } DDgrp and FDgrp are modifiers
 
assign cb_mem = CB_MEM = ir1[2:0]; // CB_MEM = 3'h110,
 
assign of8 = LDsA_6BC7 == ir1 | // LD A,(BC) ; 0A
LDsA_6DE7 == ir1 | // LD A,(DE) ; 1A
LDsB_6HL7 == ir1 | // LD B,(HL) ; 46
LDsD_6HL7 == ir1 | // LD D,(HL) ; 56
LDsH_6HL7 == ir1 | // LD H,(HL) ; 66
ADDsA_6HL7 == ir1 | // ADD A,(HL) ; 86
SUBs6HL7 == ir1 | // SUB (HL) ; 96
ANDs6HL7 == ir1 | // AND (HL) ; A6
ORs6HL7 == ir1 | // OR (HL) ; B6
LDsC_6HL7 == ir1 | // LD C,(HL) ; 4E
LDsE_6HL7 == ir1 | // LD E,(HL) ; 5E
LDsL_6HL7 == ir1 | // LD L,(HL) ; 6E
LDsA_6HL7 == ir1 | // LD A,(HL) ; 7E
ADCsA_6HL7 == ir1 | // ADC A,(HL) ; 8E
SBCs6HL7 == ir1 | // SBC (HL) ; 9E
XORs6HL7 == ir1 | // XOR (HL) ; AE
CPs6HL7 == ir1 | // CP (HL) ; BE
LDsA_6NN7 == ir1 | // LD A,(NN) ; 3A XX XX
cb_mem & CB_BIT == ir2[9:6]; // (HL) these must be compaired with ir[7:6]
 
assign of16; LDsHL_6NN7 == ir1 | // LD HL,(NN) ; 2A XX XX
POPsAF == ir1 | // POP AF ; F1 AF<- (SP++ ++)
POPsBC == ir1 | // POP BC ; C1 BC<- (SP++ ++)
POPsDE == ir1 | // POP DE ; D1 DE<- (SP++ ++)
POPsHL == ir1 | // POP HL ; E1 HL<- (SP++ ++)
ED_LDsREG_6NN7 == {ir[7:6],ir[3:0]} // REG = BC,DE,HL,SP
 
assign os8 = LDs6HL7_N == ir1 | // LD (HL),N ; 36 XX
LDs6BC7_A == ir1 | // LD (BC),A ; 02
LDs6DE7_A == ir1 | // LD (DE),A ; 12
LDs6HL7_A == ir1 | // LD (HL),A ; 77
LDs6HL7_B == ir1 | // LD (HL),B ; 70
LDs6HL7_C == ir1 | // LD (HL),C ; 71
LDs6HL7_D == ir1 | // LD (HL),D ; 72
LDs6HL7_E == ir1 | // LD (HL),E ; 73
LDs6HL7_H == ir1 | // LD (HL),H ; 74
LDs6HL7_L == ir1 | // LD (HL),L ; 75
LDs6NN7_A == ir1 ; // LD (NN),A ; 32 XX XX
 
assign os16 = PUSHsAF == ir1 | // PUSH AF ; F5 (-- --SP) <- AF
PUSHsBC == ir1 | // PUSH BC ; C5 (-- --SP) <- BC
PUSHsDE == ir1 | // PUSH DE ; D5 (-- --SP) <- DE
PUSHsHL == ir1 | // PUSH HL ; E5 (-- --SP) <- HL
LDs6NN7_HL == ir1 | // LD (NN),HL ; 22 XX XX
ED_LDs6NN7_REG = {ir[7:6],ir[3:0]}; //REG = BC,DE,HL,SP
 
 
// these are executed ; exec(of) ; op1(nop); op2(os) ; if2
assign rmw8 = INCs6HL7 == ir1 | // INC (HL) ; 34
DECs6HL7 == ir1 | // DEC (HL) ; 35
cb_mem & CB_RLC == ir1[9:3] | //(HL)
cb_mem & CB_RRC == ir1[9:3] | //(HL)
cb_mem & CB_RL == ir1[9:3] | //(HL)
cb_mem & CB_RR == ir1[9:3] | //(HL)
cb_mem & CB_SLA == ir1[9:3] | //(HL)
cb_mem & CB_SRA == ir1[9:3] | //(HL)
cb_mem & CB_SRL == ir1[9:3] | //(HL)
cb_mem & CB_RES == ir1[9:6] | //(HL)
cb_mem & CB_SET == ir1[9:6] ; //(HL)
// this is executd exec(of) ; op1(os) ; op2(of) ; op3(os) ; if2
assign xchng16 = EXs6SP7_HL == ir1; // EX (SP),HL ; E3
 
// cond jumps are executed exec cond_t? pc,wb_adr<-adr if goto if2 : if goto exec
wire c_jmp8 = JPsC == ir1 | // JP C,$+3 ; DA XX XX
JPsM == ir1 | // JP M,$+3 ; FA XX XX
JPsNC == ir1 | // JP NC,$+3 ; D2 XX XX
JPsNZ == ir1 | // JP NZ,$+3 ; C2 XX XX
JPsPE == ir1 | // JP PE,$+3 ; EA XX XX
JPsPO == ir1 | // JP PO,$+3 ; E2 XX XX
JPsP == ir1 | // JP P,$+3 ; F2 XX XX
JPsZ == ir1 ; // JP Z,$+3 ; CA XX XX
 
wire c_jmp4 = JRsC_$t2 == ir1 |
JRsNC_$t2 == ir1 |
JRsNZ_$t2 == ir1 |
JRsZ_$t2 == ir1 ;
 
assign jmp = JRs$t2 == ir1 | // JR $+2 ; 18 XX
DJNZs$t2 == ir1 | // DJNZ $+2 ; 10 XX XX
JPs == ir1 | // JP $+3 ; C3 XX XX
JPsHL == ir1 | // JP HL ; E9 // documented as indirect is not
c_jmp4 & br_test4t | // JR C,$+2 ; 38
c_jmp8 & br_test8t | // JP C,$+3 ; DA XX XX
RSTs0 == ir1 | // RST 0 ; C7
RSTs8H == ir1 | // RST 8H ; CF
RSTs10H == ir1 | // RST 10H ; D7
RSTs18H == ir1 | // RST 18H ; DF
RSTs20H == ir1 | // RST 20H ; E7
RSTs28H == ir1 | // RST 28H ; EF
RSTs30H == ir1 | // RST 30H ; F7
RSTs38H == ir1 ; // RST 38H ; FF
wire c_call = CALLsC_NN == ir1 | //XX XX (-- --SP) <- PC, PC<-NN
CALLsM_NN == ir1 |
CALLsNC_NN == ir1 |
CALLsNZ_NN == ir1 |
CALLsPE_NN == ir1 |
CALLsPO_NN == ir1 |
CALLsP_NN == ir1 |
CALLsZ_NN == ir1 ;
 
 
// these are executed exec(ossp) ; op1(ossp); op2(ifnn p<-n+1)goto if2
assign call = CALLsNN == ir1 | // XX XX (-- --SP) <- PC, PC<-NN
c_call & br_test8t ; // XX XX (-- --SP) <- PC, PC<-NN
wire c_ret = RETsC == ir1 & br_test8t | // RET C ; D8 PC <- (SP++ ++)
RETsM == ir1 & br_test8t | // RET M ; F8 PC <- (SP++ ++)
RETsNC == ir1 & br_test8t | // RET NC ; D0 PC <- (SP++ ++)
RETsNZ == ir1 & br_test8t | // RET NZ ; C0 PC <- (SP++ ++)
RETsP == ir1 & br_test8t | // RET P ; F0 PC <- (SP++ ++)
RETsPE == ir1 & br_test8t | // RET PE ; E8 PC <- (SP++ ++)
RETsPO == ir1 & br_test8t | // RET PO ; E0 PC <- (SP++ ++)
RETsZ == ir1 & br_test8t ; // RET Z ; C8 PC <- (SP++ ++)
 
assign ret =RET == ir1 | // RET ; C9 PC <- (SP++ ++)
ED_RETN == {ir[9:6],ir[2:0]}| // compair with {ir[7:6],ir[2:0]} and !reti
c_ret & br_test8t ;
 
assign ioi = INsA_6N7 == ir1 | // IN A,(N) ; DB XX A<-(Nio)
ED_INsREG_6C7 == {ir[9:6],ir[2:0]} ;// really (BCio)
 
assign ioo = OUTs6N7_A == ir1 | // OUT (N),A ; D3 XX A-> (Nio)
ED_OUTs6C7_REG == {ir[9:6],ir[2:0]} ;
 
// execution of | exec | rpt_t | op3 |
// repeats | |(if done if2 |goto rpt_t|
// rel to mem_state | of BC-- | os | of BC-- |
// rpt test must allow for interrupts - pc and BC must be properly rolled back
 
assign blk_mv = ED_LDI == ir1 | // (DE++) <= (HL++) , BC--
ED_LDD == ir1 | // (DE--) <= (HL--) , BC--
ED_LDIR == ir1 | // (DE++) <= (HL++) , BC-- Repeat
ED_LDDR == ir1 ; // (DE--) <= (HL--) , BC-- Repeat
 
 
// execution of | exec | op3 | rpt_t |
// repeats | goto op3|goto rpt_t| if done if2 |
// rel to mem_state | of BC-- | nop | else of BC--|
//
// rpt test must allow for interrupts - pc and BC must be properly rolled back
// lets goto a special state for this -
// bj -think - pc must be rolled back in any case - how does that happen? notes for main_state
// already have this being done on MEM_INT1 --- on reflection, BC is correct - post decrement
// we tested the decremented value. Mental test here --- start with 1 - get 0 stop.
// (um states explicitly that if you start with 0 you get 64k)
// start with 2 get interrupt (we now have 1) interrupt with 1 in bc ok.
//
assign blk_cmp = ED_CPI == ir1 | // CPI ; ED A1 A - (HL++) , BC--
ED_CPD == ir1 | // CPD ; ED A9 A - (HL--) , BC--
ED_CPIR == ir1 | // CPIR ; ED B1 A - (HL++) , BC-- repeat if(|B
ED_CPDR == ir1 ; // CPDR ; ED B9 A - (HL--) , BC-- repeat if(|B
 
 
 
 
assign blk_in = ED_INI == ir1 | // INI ; ED A2 (HL++) <- (Cio) , B--;
ED_IND == ir1 | // IND ; ED AA (HL--) <- (Cio) , B--
ED_INIR == ir1 | // INIR ; ED B2 (HL++) <- (Cio) , B-- repeat if(|B)
ED_INDR == ir1 ; // INDR ; ED BA (HL--) <- (Cio) , B-- repeat if(|B)
 
 
 
assign blk_out = ED_OUTI == ir1 | // OUTI ED A3 (Cio) <-(HL++) , B--
ED_OUTD == ir1 | // OUTD ED AB (Cio) <-(HL--) , B--
ED_OTIR == ir1 | // OTIR ED B3 (Cio) <-(HL++) , B-- rpt if(|B)
ED_OTDR == ir1 ; // OTDR ED BB (Cio) <-(HL--) , B-- rpt if(|B)
 
 
assign blk_rpt = ED_LDIR == ir1 | // (DE++) <= (HL++) , BC-- Repeat
ED_LDDR == ir1 | // (DE--) <= (HL--) , BC-- Repeat
ED_CPIR == ir1 | // CPIR ; ED B1 A - (HL++) , BC-- repeat if(|B
ED_CPDR == ir1 | // CPDR ; ED B9 A - (HL--) , BC-- repeat if(|B
ED_INIR == ir1 | // INIR ; ED B2 (HL++) <- (Cio) , B-- repeat if(|B)
ED_INDR == ir1 | // INDR ; ED BA (HL--) <- (Cio) , B-- repeat if(|B)
ED_OTIR == ir1 | // OTIR ED B3 (Cio) <-(HL++) , B-- rpt if(|B)
ED_OTDR == ir1 ; // OTDR ED BB (Cio) <-(HL--) , B-- rpt if(|B)
 
assign blk_inc = ED_LDI == ir1 | // (DE++) <= (HL++) , BC--
ED_LDIR == ir1 | // (DE++) <= (HL++) , BC-- Repeat
ED_CPI == ir1 | // CPI ; ED A1 A - (HL++) , BC--
ED_CPIR == ir1 | // CPIR ; ED B1 A - (HL++) , BC-- repeat if(|B
ED_INI == ir1 | // INI ; ED A2 (HL++) <- (Cio) , B--;
ED_INIR == ir1 | // INIR ; ED B2 (HL++) <- (Cio) , B-- repeat if(|B)
ED_OUTI == ir1 | // OUTI ED A3 (Cio) <-(HL++) , B--
ED_OTDR == ir1 ; // OTDR ED BB (Cio) <-(HL--) , B-- rpt if(|B)
 
wire push = PUSHsAF == ir1 | // PUSH AF ; F5 (-- --SP) <- AF
PUSHsBC == ir1 | // PUSH BC ; C5 (-- --SP) <- BC
PUSHsDE == ir1 | // PUSH DE ; D5 (-- --SP) <- DE
PUSHsHL == ir1 ; // PUSH HL ; E5 (-- --SP) <- HL
wire pop = POPsAF == ir1 | // POP AF ; F1 AF<- (SP++ ++)
POPsBC == ir1 | // POP BC ; C1 BC<- (SP++ ++)
POPsDE == ir1 | // POP DE ; D1 DE<- (SP++ ++)
POPsHL == ir1 ; // POP HL ; E1 HL<- (SP++ ++)
assign use_sp = push | pop | call | ret;
assign ofos = cb_mem | of8 | of16 | os8 | os16 | rmw8 | xchng16 | jmp | call | ret |
ioi | ioo | blk_mv | blk_cmp | blk_in | blk_out | push | pop ;
assign exec_if = mem_exec & !ofos;
 
 
// wires below can be used to select os data - with the addition of
// ED_LDs6NN7_REG = {ir[7:6],ir[3:0]}; //REG = BC,DE,HL,SP - These cannot cause
// hazards as ir2 is executed as ED is decoded.
// so what??? include them anyway.... Hazard detection is disabled after ir2 is executed. kiss
//
wire os_a = LDs6BC7_A == ir1 | // LD (BC),A ; 02
LDs6DE7_A == ir1 | // LD (DE),A ; 12
LDs6HL7_A == ir1 | // LD (HL),A ; 77
LDs6NN7_A == ir1 | // LD (NN),A ; 32 XX XX
PUSHsAF == ir1 |
OUTs6N7_A == ir1 |
ED_OUTs6C7_REG == {ir[7:6],ir[2:0] && REG8_A == ir[5:3]} ;
 
wire os_b = LDs6HL7_B == ir1 | // LD (HL),B ; 70
PUSHsBC == ir1 | // PUSH BC
ED_LDs6NN7_REG == {ir[7:6],ir[3:0] && DBL_REG_BC == ir[5:4] |
ED_OUTs6C7_REG == {ir[7:6],ir[2:0] && REG8_B == ir[5:3]} ;
wire os_c = LDs6HL7_C == ir1 | // LD (HL),C ; 71
ED_OUTs6C7_REG == {ir[7:6],ir[2:0] && REG8_C == ir[5:3]} ;
wire os_d = LDs6HL7_D == ir1 | // LD (HL),D ; 72
PUSHsDE == ir1 | // PUSH DE
ED_LDs6NN7_REG == {ir[7:6],ir[3:0] && DBL_REG_DE == ir[5:4] |
ED_OUTs6C7_REG == {ir[7:6],ir[2:0] && REG8_D == ir[5:3]} ;
wire os_e = LDs6HL7_E == ir1 | // LD (HL),E ; 73
ED_OUTs6C7_REG == {ir[7:6],ir[2:0] && REG8_E == ir[5:3]} ;
wire os_h = LDs6HL7_H == ir1 | // LD (HL),H ; 74
LDs6NN7_HL == ir1 | // LD (NN),HL ; 22 XX XX
ED_LDs6NN7_REG == {ir[7:6],ir[3:0] && DBL_REG_HL == ir[5:4] |
ED_OUTs6C7_REG == {ir[7:6],ir[2:0] && REG8_H == ir[5:3]} ;
wire os_l = LDs6HL7_L == ir1 | // LD (HL),L ; 75
ED_OUTs6C7_REG == {ir[7:6],ir[2:0] && REG8_L == ir[5:3]} ;
 
wire os_sp = ED_LDs6NN7_REG == {ir[7:6],ir[3:0] && DBL_REG_SP == ir[5:4];
 
 
// does not include extension stuff as we are mostly looking for hazards here
// course we do use these terms to build more decodes
//
wire opadr_bc = LDsA_6BC7 == ir1 | LDs6BC7_A == ir1;
wire opadr_de = LDsA_6DE7 == ir1 | LDs6DE7_A == ir1;
wire opadr_hl = LDsB_6HL7 == ir1 | ORs6HL7 == ir1 | LDs6HL7_B == ir1 |
LDsD_6HL7 == ir1 | LDsC_6HL7 == ir1 | LDs6HL7_C == ir1 |
LDsH_6HL7 == ir1 | LDsE_6HL7 == ir1 | LDs6HL7_D == ir1 |
ADDsA_6HL7 == ir1 | LDsL_6HL7 == ir1 | LDs6HL7_E == ir1 |
SUBs6HL7 == ir1 | LDsA_6HL7 == ir1 | LDs6HL7_H == ir1 |
ANDs6HL7 == ir1 | ADCsA_6HL7 == ir1 | LDs6HL7_L == ir1 |
XORs6HL7 == ir1 | SBCs6HL7 == ir1 | CPs6HL7 == ir1 ;
assign use_a = os_a;
assign use_b = os_b | opadr_bc;
assign use_c = os_c | opadr_bc;
assign use_d = os_d | opadr_de;
assign use_e = os_e | opadr_de;
assign use_h = os_h | opadr_hl;
assign use_l = os_l | opadr_hl;
 
 
 
assign use_flags = c_jmp8 | c_jmp4 | c_call | c_ret;
 
 
 
wire bc_eq0 = beq0 & ceq0;
assign rpt_blk_mv = (blk_mv_reg ) & !bc_eq0 |
(blk_cmp_reg) & !bc_eq0 & (nn[7:0] != 8'h0) |
(blk_in_reg | blk_out_reg) & !b_eq0 ;
 
// BASIC ARCHITECTURE OF THIS FILE pc and sp not shown, but are inputs to src mux.
// _____ and may be updated from adder output.
// | |
// | | pc-1 register is required to implement relative jumps.
// | |
// _____ |lit | |\
// | | | | | \
// | | |src2 | | \ _____ _____
// | | | |----->| | | | | |
// |src | |_____| |adder|------->| | | |
// |mux | | | | | | |
// | |------------------->| / |2/1 |------->|wb |
// | | | | / |mux | |adr |
// |_____| | |/ | | | |
// ------------------->| | | |
// |_____| |_____|
//
// There was a time when I thought we might use wb_add (or a copy) as a source for the
// src mux ( thought I might need to save less state that way )
// seems like most state is needed in any case -- so this way I don't have to worry about
// multiple loads on wb_adr..
//
//
wire src_sp = (mem_exec) ? use_sp : push_reg | pop_reg | call_reg & mem_op1 | ret_reg & mem_op1;
 
wire src_pc = mem_if1 | mem_if2 | mem_exec & !exec_of;
wire src_nn = ir1 == LDsA_6NN7 & mem_exec |
ir1 == LDsHL_6NN7 & mem_exec |
ir1 == LDs6NN7_A & mem_exec |
ir1 == LDs6NN7_HL & mem_exec |
ir1 == jmp & mem_exec |
call_reg & mem_op2 |
ir1 == INsA_6N7 & mem_exec |
ir1 == OUTs6N7_A & mem_exec |
 
// don't forget that hl source can be modified by prefix
wire src_hl = ir1 == LDsB_6HL7 & mem_exec | //LD B,(HL) ; 46
ir1 == LDsD_6HL7 & mem_exec | //LD D,(HL) ; 56
ir1 == LDsH_6HL7 & mem_exec | //LD H,(HL) ; 66
ir1 == ADDsA_6HL7 & mem_exec | //ADD A,(HL) ; 86
ir1 == SUBs6HL7 & mem_exec | //SUB (HL) ; 96
ir1 == ANDs6HL7 & mem_exec | //AND (HL) ; A6
ir1 == ORs6HL7 & mem_exec | //OR (HL) ; B6
ir1 == LDsC_6HL7 & mem_exec | //LD C,(HL) ; 4E
ir1 == LDsE_6HL7 & mem_exec | //LD E,(HL) ; 5E
ir1 == LDsL_6HL7 & mem_exec | //LD L,(HL) ; 6E
ir1 == LDsA_6HL7 & mem_exec | //LD A,(HL) ; 7E
ir1 == ADCsA_6HL7 & mem_exec | //ADC A,(HL) ; 8E
ir1 == SBCs6HL7 & mem_exec | //SBC (HL) ; 9E
ir1 == XORs6HL7 & mem_exec | //XOR (HL) ; AE
ir1 == CPs6HL7 & mem_exec | //CP (HL) ; BE
ir1 == LDs6HL7_N & mem_exec | //LD (HL),N ; 36 XX
ir1 == LDs6HL7_A & mem_exec | //LD (HL),A ; 77
ir1 == LDs6HL7_B & mem_exec | //LD (HL),B ; 70
ir1 == LDs6HL7_C & mem_exec | //LD (HL),C ; 71
ir1 == LDs6HL7_D & mem_exec | //LD (HL),D ; 72
ir1 == LDs6HL7_E & mem_exec | //LD (HL),E ; 73
ir1 == LDs6HL7_H & mem_exec | //LD (HL),H ; 74
ir1 == LDs6HL7_L & mem_exec | //LD (HL),L ; 75
// rmw 8 types
ir1 == INCs6HL7 & mem_exec | //INC (HL) ; 34
ir1 == DECs6HL7 & mem_exec | //DEC (HL) ; 35
blk_mv & mem_exec |
blk_cmp & mem_exec |
blk_out & mem_exec |
ir1[2:0]==CB_MEM &
ir[9:8] == 2'b01 & mem_exec |
blk_mv_reg & mem_op2 |
blk_cmp_reg & mem_op2 |
blk_out_reg & mem_op2
// all block moves
 
wire src_de = ir1 == LDsA_6DE7 & mem_exec |
ir1 == LDs6DE7_A & mem_exec ;
 
 
wire src_bc = ir1 == LDsA_6BC7 & mem_exec |
ir1 == LDs6BC7_A & mem_exec ;
 
wire inc
 
assign src_mux = {16{ src_sp }} & sp |
{16{ src_pc }} & pc |
{16{ src_nn }} & nn |
{16{ src_hl }} & hl |
{16{ src_de }} & de |
{16{ src_bc }} & bc :
assign src2 = {16{ inc }} & 16'h0001 |
{16{ dec }} & 16'hffff |
{16{ rel_jmp}} & {8{nn7},nn[7:0]};
 
assign alu = src2 + src_mux;
assign mux21 = pre_inc_dec ? alu : src_mux;
assign wb_rdy = !wb_cyc | wb_ack;
 
//-------1---------2---------3--------State Machines-------6---------7---------8---------9--------0
 
 
wire exec_ir1 = (MEM_EXEC == mem_state);
always @(posedge clk or posedge rst)
if (rst)
begin
of16_reg <= 1'b0;
os16_reg <= 1'b0;
rmw8_reg <= 1'b0;
push_reg <= 1'b0;
pop_reg <= 1'b0;
call_reg <= 1'b0;
ret_reg <= 1'b0;
blk_mv_reg <= 1'b0;
blk_cmp_reg <= 1'b0;
blk_in_reg <= 1'b0;
blk_out_reg <= 1'b0;
blk_rpt_reg <= 1'b0;
blk_inc_reg <= 1'b0;
src_nn_reg <= 1'b0;
src_hl_reg <= 1'b0;
end
else if (exec_ir1)
begin
of16_reg <= of16 ;
os16_reg <= os16 ;
rmw8_reg <= rmw8 ;
push_reg <= push ;
pop_reg <= pop ;
call_reg <= call ;
ret_reg <= ret ;
xchng16_reg <= xchng16 ;
blk_mv_reg <= blk_mv ;
blk_cmp_reg <= blk_cmp;
blk_in_reg <= blk_in ;
blk_out_reg <= blk_out;
blk_rpt_reg <= blk_rpt;
blk_inc_reg <= blk_inc;
src_nn_reg <= src_nn ;
end
// first cut at the main state machine.
// make one hot to speed up decoding ? leaning that way... but no big deal till
// physical.
// MEM_HALT --- kind of silly to sit here and wait for interrupt - but ok gotta do something.
//
// Lot of Issues here Interrupts ( during block moves - roll back pc )
// hazards
// halt
// 3/17/2004 on first time through the ddcb and fdcb prefix operations were wrong -
// in fact fdfdcb is not handled as It appears it "should be".
 
// of16 os16 rmw8 push-pop call
//
//MEM_EXEC of_adr os_adr++ of_adr sp <=pop?++adr :--adr --sp<=pc
//MEM_OP1 of_adr++ os_adr++ os_adr sp <=pop?++adr :--adr --sp<=pc<=NN
// <IF2 <IF2 <IF2 <IF2 <IF1
//
// ioi ioo
//MEM_IF2
//MEM_EXEC iof ios
//MEM_OP1
 
 
 
//
// ret blk_mv blk_cmp blk_in blk_o int xchng16
//MEM_IDLE
//MEM_HALT
//MEM_IF1
//MEM_IF2
//MEM_EXEC sp<=++adr of_6src7 of6src7 iof of iof of
// > RPT_T >OP3 >RPT_T >RPT+T
//MEM_OP1 os
//MEM_OP2 of
//MEM_OP3 of RPT_T >RPT_T iof of os >IF2
//MEM_RPT_T os done? done? os done? ios done?
// IF2:OP3 >IF2:of>OP3 IF2:OP3 IF2:OP3
//
//
//MEM_INT1 (--sp)<=pcH
//MEM_INT2 (--sp)<=PCL
// pc<=I,n >IF1
//MEM_INT3 of_n
//MEM_INT4 of_nn
//MEM_INT5 pc<=nn
 
wire goto_op1 = (of16 |os16 | rmw8 | xchng16| call | ret );
wire goto_op2 = xchng16_reg;
wire goto_rpt_t = blk_mv | blk_in | blk_out;
wire goto_op3 = blk_cmp;
wire blk_mv_rpt =
 
 
always @(posedge clk or posedge rst)
if (rst) mem_state <= MEM_IDLE;
else if (wb_rdy) // wb rdy = wb_idle | wb_ack
begin
case (mem_state)
MEM_IDLE: mem_state <= MEM_IF1
MEM_HALT: mem_state <= MEM_HALT // stay here until interrupt or reset
MEM_IF1 mem_state <= MEM_IF2
MEM_IF2 : mem_state <= MEM_EXEC;
MEM_EXEC :
if (int) mem_state <= MEM_INT1;
else if(halt) mem_state <= MEM_HALT;
else if (ir1_val)
begin
if(mem_hazard) mem_state <= MEM_IF1; // restart i pipe
else if(reg_hazard) mem_state <= MEM_IF2; // wait for inst execution
else if(jmp ) mem_state <= MEM_IF1;
else if(goto_op1) mem_state <= MEM_OP1;
else if(of8 | os8) mem_state <= MEM_IF1;
else if(goto_rpt_t) mem_state <= MEM_RPT_T;
else if(goto_op3) mem_state <= MEM_OP3;
else mem_state <= MEM_EXEC;
end
MEM_OP1 :
if (xchang16 ) mem_state <= MEM_OP2;
else mem_state <= MEM_IF2;
MEM_OP2 : mem_state <= MEM_OP3;
MEM_OP3 :
if (xchng16_reg) mem_state <= MEM_IF2;
else mem_state <= MEM_RPT_T;
MEM_RPT_T :
if (!rpt_blk_mv) mem_state <= MEM_IF2;
else if (int) mem_state <= MEM_INT1;
else
MEM_INT1: mem_state <= MEM_INT2;
MEM_INT2: mem_state <= MEM_INT3;
MEM_INT3: mem_state <= MEM_INT4;
MEM_INT4: mem_state <= MEM_INT5;
MEM_INT5: mem_state <= MEM_IF1;
endcase
end
// some assignemnts to save on virtual paper
//
assign mem_idle = MEM_IDLE ==mem_state;
assign mem_halt = MEM_HALT ==mem_state;
assign mem_if1 = MEM_IF1 ==mem_state;
assign mem_if2 = MEM_IF2 ==mem_state;
assign mem_exec = MEM_EXEC ==mem_state;
assign mem_op1 = MEM_OP1 ==mem_state;
assign mem_op2 = MEM_OP2 ==mem_state;
assign mem_op3 = MEM_OP3 ==mem_state;
assign mem_rpt_t= MEM_RPT_T == mem_state;
assign mem_int1 = MEM_INT1 ==mem_state;
assign mem_int2 = MEM_INT2 ==mem_state;
assign mem_int3 = MEM_INT3 ==mem_state;
assign mem_int4 = MEM_INT4 ==mem_state;
assign mem_int5 = MEM_INT5 ==mem_state;
 
 
assign any_os = os8 & mem_exec |
os16 & mem_exec |
call & mem_exec |
push & mem_exec |
os16_reg & mem_op1 |
rmw8_reg & mem_op1 |
xchng16_reg & mem_op1 |
xchng16_reg & mem_op3 |
call_reg & mem_op1 |
blk_mv_reg & mem_rpt_t|
blk_in_reg & mem_rpt_t|
int_reg & mem_int1 |
int_reg & mem_int2 ;
 
assign any_ios = ioo & mem_exec | blk_out_reg & mem_rpt_t;
assign any_iof = ioi & mem_exe | blk_in_reg & mem_op3;
 
 
 
// program counter
wire if_pinc = mem_if1 | mem_if2 | exec_if;
always @(posedge clk or posedge rst)
if (rst) pc <= 16'h0
else if if_pinc pc <= alu;
 
 
//-------------------------- memory interface stuff ----------------------------
 
 
// -- wb_adr
always @(posedge clk) if (wb_rdy) wb_adr <= mux21
 
// -- wb_we;
 
always @(posedge clk or posedge rst)
if (rst) wb_we <= 1'b0;
else if (wb_rdy) wb_we <= (any_os | any_ios);
// -- wb_cyc
 
wire no_wb_start = mem_idle | mem_halt | mem_op3 & blk_cmp_reg | mem_op1 & rmw_reg;
always @(posedge clk or posedge rst)
if (rst) wb_cyc <= 1'b0;
else if (wb_rdy) wb_cyc <= !no_wb_start
// -- wb_stb;
 
always @(posedge clk or posedge rst)
if (rst) wb_cyc <= 1'b0;
else if (wb_rdy) wb_cyc <= !no_wb_start
 
 
// -- wb_lock;
 
always @(posedge clk or posedge rst)
if (rst) wb_lock <= 1'b0;
else if (wb_rdy)
begin
if (mem_exec & rmw) wb_lock <= 1'b1;
if (mem_if2 & rmw_reg) wb_lock <= 1'b0;
end
// -- wb_tga_io
always @(posedge clk or posedge rst)
if (rst) wb_tga_io <= 2'b0;
else if (wb_rdy)
begin
if (any_iof | any_ios) wb_tga_io <= TAG_IO;
else if (mem_exec & int ) wb_tga_io <= TAG_INT;
else wb_tga_io <= 2'b0
end
 
 
endmodule
 
 
/trunk/rtl/test.v
0,0 → 1,10
module test;
 
parameter CONST = 8'h55;
initial
begin
if ( 8'h55 == CONST ) $display("8'h55 == CONST");
if ( 4'h5 == CONST[7:4]) $display("4'h5 == CONST[7:4]");
if ( 8'h6 == CONST[7:4]) $display("you are full of shit");
end
endmodule
/trunk/rtl/rts_header.v
0,0 → 1,63
///////////////////////////////////////////////////////////////////////////////////////////////////
// //
// file name: opcodes.v //
// description: opcode parameters for z80 //
// project: wb_z80 //
// //
// Author: B.J. Porcella //
// e-mail: bporcella@sbcglobal.net //
// //
// //
// //
///////////////////////////////////////////////////////////////////////////////////////////////////
// //
// Copyright (C) 2000-2002 B.J. Porcella //
// Real Time Solutions //
// //
// //
// This source file may be used and distributed without //
// restriction provided that this copyright statement is not //
// removed from the file and that any derivative work contains //
// the original copyright notice and the associated disclaimer. //
// //
// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //
// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //
// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //
// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //
// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //
// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //
// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //
// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //
// POSSIBILITY OF SUCH DAMAGE. //
// //
//-------1---------2---------3--------Comments on file -------------7---------8---------9--------0
//-------1---------2---------3--------CVS Log -----------------------7---------8---------9--------0
//
// $Id: rts_header.v,v 1.1.1.1 2004-04-13 23:50:21 bporcella Exp $
//
// $Date: 2004-04-13 23:50:21 $
// $Revision: 1.1.1.1 $
// $Author: bporcella $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
//
//
//-------1---------2---------3--------Module Name and Port List------7---------8---------9--------0
module modulename();
 
//-------1---------2---------3--------Output Ports---------6---------7---------8---------9--------0
//-------1---------2---------3--------Input Ports----------6---------7---------8---------9--------0
//-------1---------2---------3--------Parameters-----------6---------7---------8---------9--------0
//-------1---------2---------3--------Wires----------------6---------7---------8---------9--------0
//-------1---------2---------3--------Registers------------6---------7---------8---------9--------0
//-------1---------2---------3--------Assignments----------6---------7---------8---------9--------0
//-------1---------2---------3--------State Machines-------6---------7---------8---------9--------0
 
endmodule
/trunk/rtl/inst_exec.v
0,0 → 1,1221
///////////////////////////////////////////////////////////////////////////////////////////////////
// //
// file name: inst_exec.v //
// description: main execution engine for wishbone z80 //
// project: wb_z80 //
// //
// Author: B.J. Porcella //
// e-mail: bporcella@sbcglobal.net //
// //
// //
// //
///////////////////////////////////////////////////////////////////////////////////////////////////
// //
// Copyright (C) 2000-2002 B.J. Porcella //
// Real Time Solutions //
// //
// //
// This source file may be used and distributed without //
// restriction provided that this copyright statement is not //
// removed from the file and that any derivative work contains //
// the original copyright notice and the associated disclaimer. //
// //
// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //
// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //
// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //
// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //
// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //
// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //
// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //
// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //
// POSSIBILITY OF SUCH DAMAGE. //
// //
//-------1---------2---------3--------Comments on file -------------7---------8---------9--------0
//
// This file contains the data related registers of the z80 and the
// logic required to update them. Included registers are:
// ar fr
// br cr
// dr er
// hr lr
// ixr
// iyr
// intr
//
// and the "prime" registers
// ap fp
// bp cp
// dp ep
// hp lp
//
// This logic can be considered a "slave" to the memstate sequencer (in memstate2.v).
// as memstate sequencer executes any instruction from ir1 (the of - os pipe) the instruction
// gets transferred to ir2 - which now becomes active.
//
// In the case of any memory type instruction (HL) , the pipeline must stall 1 tick to get the
// operand into the nn register. This file logic needs not understand any of that -- just
// execute when told to (ir2_val).
//
// From a block diagram standpoint this file is somewhat messy. There are multiple ALU's and
// multiple source multiplexors. Part of the reason for this is hardware speed - the
// various additions start pretty early in the cycle ( as not much decode logic is needed to
// get them started. In parallel with that - the destination selectors ( which require more
// complex decoding logic ) are "doing thier thing" No claim that this is absolute optimum - any
// good synthesizer should be able to make the basic structure faster when flattened. However,
// the intention is that even if the synthesizer is pretty primitive -- reasonably fast hardware
// will be produced.
//
//-------1---------2---------3--------CVS Log -----------------------7---------8---------9--------0
//
// $Id: inst_exec.v,v 1.1.1.1 2004-04-13 23:49:54 bporcella Exp $
//
// $Date: 2004-04-13 23:49:54 $
// $Revision: 1.1.1.1 $
// $Author: bporcella $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
//
//
//-------1---------2---------3--------Module Name and Port List------7---------8---------9--------0
module inst_exec( br_eq0,
cr_eq0,
upd_ar, upd_br, upd_cr, upd_dr, upd_er, upd_hr, upd_lr,upd_fr,
ar, fr, br, cr, dr, er, hr, lr, intr,
ixr, iyr, add16,
exec_ir2,
exec_decbc, exec_decb,
ir2,
clk,
rst,
nn, sp,
dd_grp,
fd_grp
);
 
//-------1---------2---------3--------Output Ports---------6---------7---------8---------9--------0
output br_eq0;
output cr_eq0;
output upd_ar, upd_br, upd_cr, upd_dr, upd_er, upd_hr, upd_lr,upd_fr;
output [7:0] ar, fr, br, cr, dr, er, hr, lr, intr;
output [15:0] ixr, iyr;
output [15:0] add16;
//-------1---------2---------3--------Input Ports----------6---------7---------8---------9--------0
input exec_ir2;
input exec_decbc; // in general this needs to happen at different time from exec
input exec_decb; // in general - we don't have the EB instruction (yet) when this hits
input [9:0] ir2;
input clk;
input rst;
input [15:0] nn, sp;
input dd_grp; // this must be ir2
input fd_grp;
 
//-------1---------2---------3--------Parameters-----------6---------7---------8---------9--------0
`include "opcodes.v"
 
//-------1---------2---------3--------Wires----------------6---------7---------8---------9--------0
 
wire [7:0] src_pqr; // arithmetic sources gven by ir2[2:0]
wire [7:0] src_hr ;
wire [7:0] src_lr ;
wire [7:0] alu_out; // {CF. 8bit_result}
wire alu_cry;
 
wire c_in0, c_out7, c_in8, c_out11, cout15;
wire [15:0] src_a, src_b;
wire [15:0] add16;
wire sf, zf, f5f, hf, f3f, pvf, nf, cf;
wire [7:0] daa_alu; // {cry, number} hf goes to 0 always.
wire daa_cry;
wire upd_ar, upd_br, upd_cr, upd_dr, upd_er, upd_fr, upd_hr, upd_lr;
wire c_8out3;
wire [7:0] add_8bit;
 
wire src_dblhr ;
wire src_cb_r20 ;
wire src_pqr20 ;
wire src_pqr53 ;
wire src_dbl ;
wire [7:0] alu8_fr ;
wire alu8_nf ;
wire c_8out7 ;
wire alu8_cry ;
wire alu8_hcry ;
wire [7:0] alu8_out ;
wire add16_ofl ;
wire c_16out7 ;
wire c_16out11 ;
wire c_16out15 ;
wire c_16in0 ;
wire sh_cry ;
wire [7:0] sh_alu ;
wire sh_alu_act ;
wire bit_alu_act ;
wire [7:0] bit_alu ;
wire [7:0] decc_alu ;
wire [7:0] decb_alu ;
wire upd_a_alu8 ;
wire up_a_sh_alu ;
wire up_a_src_pqr ;
wire up_a_n ;
wire upd_b_alu8 ;
wire up_b_src_pqr ;
wire up_b_add16 ;
wire [7:0] sh_src ;
 
wire up_c_add16 ;
wire upd_c_alu8 ;
wire up_c_src_pqr ;
wire up_d_add16 ;
wire upd_d_alu8 ;
wire up_d_src_pqr ;
wire up_e_add16 ;
wire upd_e_alu8 ;
wire up_e_src_pqr ;
wire up_h_add16 ;
wire upd_h_alu8 ;
wire upd_h_src_pqr ;
wire up_l_add16 ;
wire upd_l_alu8 ;
wire upd_l_src_pqr ;
wire upd_fr_alu8 ;
wire upd_fr_add16 ;
wire upd_fr_edadd16 ;
wire upd_fr_sh ;
wire upd_fr_cbsh ;
wire eb_blk_mv ;
//-------1---------2---------3--------Registers------------6---------7---------8---------9--------0
 
reg [7:0] ar, fr, br, cr, dr, er, hr, lr, intr;
reg [7:0] ap, fp, bp, cp, dp, ep, hp, lp;
reg [15:0] ixr, iyr;
//-------1---------2---------3--------Assignments----------6---------7---------8---------9--------0
 
// it appears that dd and fd as a prefix to cb has a significantly modfied fuction......
// specifically, it is assumed that a memory operation is to be implemented (ix + d)
// , In fact the
// pipeline is such that we can make a fetch for free - so we will do that..... the
// prefix flags should not be set here -- all we will know on execution is that it is a
// cb instruction. ---- src is always nn
 
 
assign src_hr = dd_grp ? ixr[15:8] :
fd_grp ? iyr[15:8] :
hr ;
assign src_lr = dd_grp ? ixr[7:0] :
fd_grp ? iyr[7:0] :
lr ;
 
assign src_dblhr = dd_grp ? ixr : // ed grp instructions (ADC HL ; SBC HL are not affected -
fd_grp ? iyr : // instruction assembler assures this - ed_grp has no prefix
{hr, lr} ;
 
assign src_cb_r20 = (ddcb_grp | fdcb_grp) ? nn[7:0] :
cb_grp ? src_pqr20 :
ar ;
 
 
assign src_pqr20 = {8{ir2[2:0]==REG8_B }} & br |
{8{ir2[2:0]==REG8_C }} & cr |
{8{ir2[2:0]==REG8_D }} & dr |
{8{ir2[2:0]==REG8_E }} & er |
{8{ir2[2:0]==REG8_H }} & src_hr |
{8{ir2[2:0]==REG8_L }} & src_lr |
{8{ir2[2:0]==REG8_MEM}} & nn[7:0] |
{8{ir2[2:0]==REG8_A }} & ar ;
assign src_pqr53 = {8{ir2[5:3]==REG8_B }} & br |
{8{ir2[5:3]==REG8_C }} & cr |
{8{ir2[5:3]==REG8_D }} & dr |
{8{ir2[5:3]==REG8_E }} & er |
{8{ir2[5:3]==REG8_H }} & src_hr |
{8{ir2[5:3]==REG8_L }} & src_lr |
{8{ir2[5:3]==REG8_MEM}} & nn[7:0] |
{8{ir2[5:3]==REG8_A }} & ar ;
 
 
assign src_dbl = {16{ir2[5:4]==2'b00}} & {br, cr} |
{16{ir2[5:4]==2'b01}} & {dr, er} |
{16{ir2[5:4]==2'b10}} & src_sshr |
{16{ir2[5:4]==2'b11}} & sp ;
 
 
 
 
 
// I wonder how well the synthesizer can reduce this??? - It is probably worth spending
// some time during physical design to see if a more low level description would help --
// there is somebody out there who knows - and there is probably a good low level description.
//
// guess its kind of important to understand precisely what the synthesizer does
// with some of the status things we need also.
//
//
// The nastiest status to get is HF. Really need 4 bit adders to do that ( or reproduce a lot
// of logic.) I don't have a lot of confdence in the synthesier's ability to minimize arithmetic
// operations -- Its a moving target of course, but I've seen some really silly stuff come out
// of synthesis when you use a "+" operator. guess I will be pretty explicit here.
// Documentation of the HF is srange. IN and OUT operators are defined as X -- but 16 bit operations
// get set by CRY from bit 11. (Do I care??? ) well probably not but it is documented - so should
// be tested i guess.
//
//
// may want to re-define as a module with carry look-ahead ?
//
// Had a notion to define a single adder - subtractor for both 8 and 16 bit operations, but
// getting into source mux issues that solution scared me..... Worry the cry flag might
// become a worst case path. As defined, a good chunk of the decode process can go on in
// parallel with the cry computation --- with final decisions made using a small mux at
// the flag register.
// ------------ 8 bit adder for accumulator ops plus the INC DEC ops ---------------------
// It is documented that the hf is modified by the INC and DEC ops even if ar is not the
// destination of result --- clearly hf and nf are pretty usless on a INC B but ours is
// not to reason why :-) ---- well its fun to bitch about silly stuff like this.
// ( not as much fun to deal with instruction tests testing "features" -- or worse programmers
// who figure out ways to use theses "features". )
//
// 8 bit adder with cry out of bit 3 used for most operations on A as well as the
// inc/dec instructions. also need to get ED44 (ar <= -ar) working here
wire [7:0] src_pqri; // use just here and below
wire [7:0] src_aor_cnst = ed_blk_cp ? ar : // CPI CPIR CPD CPDR
ed_grp ? 8'h0 : // for ed44 -a
ir2[7] ? ar :
ir2[0] ? 8'hff :
8'h00 ;
//--------------- the "standard" flag logic -----------------------------
// sf zf f5f hf
assign alu8_fr ={alu8_out[7], ~|alu8_out, alu8_out[5], alu8_hcry,
// f3f fpv fn fc
alu8_out[3], alu8_out[7], alu8_nf, c_8out7 };
 
//assign alu8_pvf = (ir2[7:3]==5'b10100 | ir2[7:3]==5'b10101 | ir2[7:3]==5'b10110) ?
// ~^alu8_out : // even parity
// (src_aor_cnst[7]==src_pqri[7]) & (src_aor_cnst[7]!=alu8_out[7]) ; // ofl
 
assign alu8_nf = (ir2[7:3]==5'b10010) |
(ir2[7:3]==5'b10011) |
(ir2[7:6]==2'b00) & ir2[0] |
ed_grp ;
 
 
assign {c_8out3, add_8bit[3:0]} = {1'b0, src_aor_cnst[3:0]} + {1'b0, src_pqri[3:0]} + {4'b0, c_8in0};
//wire [4:0] ha_temp = {1'b0, src_aor_cnst[3:0]} + {1'b0, src_pqri[3:0]} + {4'b0, c_8in0};
//assign c_8out3
 
assign {c_8out7, add_8bit[7:4]} = {1'b0, src_aor_cnst[7:4]} + {1'b0, src_pqri[7:4]} + {4'b0, c_8out3};
 
// notice that both inputs and outputs of the adder are being selected below.
// making ed_blk_cp high priority kind of negates the origional idea of making the
// decodes fast here --- course when all is included this can't be too fast.
// Just note for syntheses that this is a slow path that could be improved with some thought.
//
assign {alu8_cry, alu8_hcry, alu8_out, src_pqri, c_8in0 }=
ed_blk_cp ? {c_8out7,c_8out3, add_8bit, ~src_pqr20, 1'h1} : //CPI CPIR CPD CPDR
 
{14{ir2[7:3]==5'b10000}} & ({c_8out7,c_8out3, add_8bit, src_pqr20, 1'b0} ) |// a+src
{14{ir2[7:3]==5'b10001}} & ({c_8out7,c_8out3, add_8bit, src_pqr20, cf} ) |// a+src+cf
{14{ir2[7:3]==5'b10010}} & ({c_8out7,c_8out3, add_8bit, ~src_pqr20, 1'h1} ) |// a-src
{14{ir2[7:3]==5'b10011}} & ({c_8out7,c_8out3, add_8bit, ~src_pqr20, ~cf } ) |// a-src-cf
{14{ir2[7:3]==5'b10100}} & ({1'b0 ,1'b1 , ar & src_pqr, src_pqr20, 1'b0} ) |// a&src
{14{ir2[7:3]==5'b10101}} & ({1'b0 ,1'b0 , ar ^ src_pqr, src_pqr20, 1'b0} ) |// a^src
{14{ir2[7:3]==5'b10110}} & ({1'b0 ,1'b0 , ar | src_pqr, src_pqr20, 1'b0} ) |// a|src
{14{ir2[7:3]==5'b10111}} & ({c_8out7,c_8out3, add_8bit, src_pqr20, 1'h1}) |// a-src
{14{(ir2[7:6]==2'b00)& ~ir2[0] }}& ({ cf,c_8out3, add_8bit, src_pqr53, 1'h1}) |// inc_r main
{14{(ir2[7:6]==2'b00)& ir2[0] }}& ({ cf,c_8out3, add_8bit, src_pqr53, 1'h0}) |// dec_r
{14{(ir2[7:6]==2'b01) }}& ({c_8out7,c_8out3, add_8bit, ~a, 1'h1}) ;// ed44 -a
 
 
// do some hand decoding here
// ADDsHL_BC = 'h09, DECsBC = 'h0B, INCsBC = 'h03 compair with {ir2[7:6],ir2[3:0]}
// ADDsHL_DE = 'h19, DECsDE = 'h1B INCsDE = 'h13 ED_SBCsHL_REG = 6'b01__0010
// ADDsHL_HL = 'h29, DECsHL = 'h2B INCsHL = 'h23 ED_ADCsHL_REG = 6'b01__1010
// ADDsHL_SP = 'h39, DECsSP = 'h3B INCsSP = 'h33
// by inspection just use ir2[3:0] - i guess in a pinch we do't need ir2[2] = but let the
// synthesizer figure that out. - it should be able to.
//
 
 
// ---------------- 16 bit adder with bit 11 carrry out and bit 8 carry in ------------------
//
assign add16_ofl = (src_a[15] == src_b[15]) & (src_a[15] != add16[15]);
 
assign {c_16out7, add16[7:0]} = {1'b0, src_a[7:0]} + {1'b0, src_b[7:0] } + {8'b0, c_16in0};
assign {c_16out11, add16[11:8]} = {1'b0, src_a[11:8]} + {1'b0, src_b[11:8] } + {4'b0, c_16out7};
assign {c_16out15, add16[15:12]} = {1'b0, src_a[15:12]} + {1'b0, src_b[15:12]} + {4'b0, c_16out11};
 
assign { src_a, src_b, c_16in0} =
{17{ir2[3:0] == 4'h9}} & {src_dblhr, src_dbl ,1'b0 } | //ADD
{17{ir2[3:0] == 4'hb}} & {16'hffff , src_dbl ,1'b0 } | //DEC
{17{ir2[3:0] == 4'h3}} & {16'h0001 , src_dbl ,1'b0 } | //INC
{17{ir2[3:0] == 4'h2}} & {src_dblhr, ~src_dbl , ~cf } | //SBC
{17{ir2[3:0] == 4'ha}} & {src_dblhr, src_dbl , cf } ; //ADC
//-------------------------- sh alu --------------------------------------------------
// shift insructions. Think of these as 8 shift types:
// RLC RL RRC RR SLA SLL SRA SRL The SLL types appear to be undocumented -- but possibly used
// in assembly code as they appear to have some utility - and by all accounts operate reliably.
// The first four are implemented in a single byte inaruction . (A <= sh_op A )
// All 8 are implemented in the CB group with all registers as potential sources (and dests).
// if dd_grp or fd_grp is prefix..... source is always the memory. This is undocumented - but
// may be a useful hint for simplyfing the total machine. Destination registers
// (if any) get a copy of the updated memory location (This is also true of the bit set and
// clear instructions in the cb_grp.
 
assign {sh_cry, sh_alu} = {9{ir2[5:3]==3'b000}} & {sh_src, sh_src[7] } | //RLC
{9{ir2[5:3]==3'b001}} & {sh_src[0], sh_src[0], sh_src[7:1]} | // RRC
{9{ir2[5:3]==3'b010}} & {sh_src, cf } | //RL
{9{ir2[5:3]==3'b011}} & {sh_src[0], cf, sh_src[7:1] } | // RR
{9{ir2[5:3]==3'b100}} & {sh_src, 1'b0} | //SLA
{9{ir2[5:3]==3'b101}} & {sh_src[0], sh_src[7], sh_src[7:1]} | //SRA
{9{ir2[5:3]==3'b110}} & {sh_src, 1-b1} | //SLL
{9{ir2[5:3]==3'b111}} & {sh_src[0], 1'b0, sh_src[7:1]} ; //SRL
 
 
// shift insts
assign sh_alu_act = ir2[9:6] == 4'b0100;
//CB_RLC = 7'b01_00_000, // these must be compaired with ir2[9:3]
//CB_RRC = 7'b01_00_001, // these must be compaired with ir2[9:3]
//CB_RL = 7'b01_00_010, // these must be compaired with ir2[9:3]
//CB_RR = 7'b01_00_011, // these must be compaired with ir2[9:3]
//CB_SLA = 7'b01_00_100, // these must be compaired with ir2[9:3]
//CB_SRA = 7'b01_00_101, // these must be compaired with ir2[9:3]
//CB_SLL = 7'b01_00_110, // these must be compaired with ir2[9:3]
//CB_SRL = 7'b01_00_111, // these must be compaired with ir2[9:3]
 
//---------------------------- bit test alu ---------------------------------------
// bit test insts
//CB_BIT = 4'b01_01, // these must be compaired with ir2[9:6]
//CB_RES = 4'b01_10, // these must be compaired with ir2[9:6]assign
//CB_SET = 4'b01_11, // these must be compaired with ir2[9:6]
assign bit_alu_act = ir2[9:6] == CB_BIT |
ir2[9:6] == CB_RES |
ir2[9:6] == CB_RES ;
 
wire bit_decode = {8{ir2[5:3] == 3'h0}} & 8'h01 |
{8{ir2[5:3] == 3'h1}} & 8'h02 |
{8{ir2[5:3] == 3'h2}} & 8'h04 |
{8{ir2[5:3] == 3'h3}} & 8'h08 |
{8{ir2[5:3] == 3'h4}} & 8'h10 |
{8{ir2[5:3] == 3'h5}} & 8'h20 |
{8{ir2[5:3] == 3'h6}} & 8'h40 |
{8{ir2[5:3] == 3'h7}} & 8'h80 ;
 
assign bit_alu = {8{ir2[9:6] == CB_BIT}} & ( sh_src & bit_decode) |
{8{ir2[9:6] == CB_RES}} & ( sh_src & ~bit_decode) |
{8{ir2[9:6] == CB_RES}} & ( shPsrc | bit_decode) ;
 
//------------ dec bc alu ---------------------------------------------
//exec_decbc; these are all we know (in general)
//exec_decb;
assign decc_alu = cr + 8'hff ;
assign decb_alu = br + ( exec_decb ? 8'hff : // just dec b if io blk move
cr_eq0 ? 8'hff : // cry out if c in this case
8'h00 ); // only dec c reg this tick
// ------------------ daa alu -------------------------------------------------------
// the documentation does not cover all cases here -- only those that matter (i suppose).
// ( documentation assumes you are operating with 2 daa'd numbers -- but of course the
// ar can contain many values that don't fit that assumption when this instruction is executed.
// Any arbitrary instruction test may test un-documented cases.
//
// this leaves me to guess what the actual logic is - and how to match it.
// So I am doing that -- see what happens. If an instruction test breaks this... I should be
// able to fix it easily.
//
wire [3:0] ls_nbl = (!nf & hf) ? 4'h6:
(!nf & (ar[3:0] > 4'h9)) ? 4'h6:
(nf & hf ) ? 4'ha:
4'h0;
 
wire [4:0] ms_nbl = (!nf & cf) ? 5'h16: // includes new cry
(!nf & (ar[3:0] > 4'h9)) ? 5'h16:
(!nf & (ar[3:0] == 4'h9) &
(ar[3:0] > 4'h9)) ? 5'h16:
(nf & !cf & hf ) ? 5'h0f:
(nf & cf & !hf ) ? 5'h1a:
(nf & cf & hf ) ? 5'h19:
5'h00;
 
 
assign {daa_cry, daa_alu} = { ms_nbl[4], {ar + { ms_nbl[3:0], ls_nbl}} } ;
 
 
//-------1---------2---------3--------State Machines-------6---------7---------8---------9--------0
 
// update ar
 
assign upd_a_alu8 =
ADDsA_B == ir2 | SUBsB == ir2 | ANDsB == ir2 | ORsB == ir2 |
ADDsA_C == ir2 | SUBsC == ir2 | ANDsC == ir2 | ORsC == ir2 |
ADDsA_D == ir2 | SUBsD == ir2 | ANDsD == ir2 | ORsD == ir2 |
ADDsA_E == ir2 | SUBsE == ir2 | ANDsE == ir2 | ORsE == ir2 |
ADDsA_H == ir2 | SUBsH == ir2 | ANDsH == ir2 | ORsH == ir2 |
ADDsA_L == ir2 | SUBsL == ir2 | ANDsL == ir2 | ORsL == ir2 |
ADDsA_6HL7 == ir2 | SUBs6HL7 == ir2 | ANDs6HL7 == ir2 | ORs6HL7 == ir2 |
ADDsA_A == ir2 | SUBsA == ir2 | ANDsA == ir2 | ORsA == ir2 |
ADCsA_B == ir2 | SBCsB == ir2 | XORsB == ir2 |
ADCsA_C == ir2 | SBCsC == ir2 | XORsC == ir2 | INCsA == ir2 |
ADCsA_D == ir2 | SBCsD == ir2 | XORsD == ir2 | DECsA == ir2 |
ADCsA_E == ir2 | SBCsE == ir2 | XORsE == ir2 |
ADCsA_H == ir2 | SBCsH == ir2 | XORsH == ir2 |
ADCsA_L == ir2 | SBCsL == ir2 | XORsL == ir2 |
ADCsA_6HL7 == ir2 | SBCs6HL7 == ir2 | XORs6HL7 == ir2 |
ADCsA_A == ir2 | SBCsA == ir2 | XORsA == ir2 |
ADDsA_N == ir2 | // ADD A,N ; C6 XX ADDsA_6HL7 = 'h86
ADCsA_N == ir2 | // ADC A,N ; CE XX ADCsA_6HL7 = 'h8E
SUBsN == ir2 | // SUB N ; D6 XX SUBs6HL7 = 'h96
SBCsA_N == ir2 | // SBC A,N ; DE XX
ANDsN == ir2 | // AND N ; E6 XX
XORsN == ir2 | // XOR N ; EE XX
ORsN == ir2 ; // OR N ; F6 XX
assign up_a_sh_alu =
RLCA == ir2 | // RLCA ; 07
RRCA == ir2 | // RRCA ; 0F
RRA == ir2 | // RRA ; 1F
RLA == ir2 ; // RLA ; 17
assign up_a_src_pqr =
LDsA_B == ir2 | // LD A,B ; 78
LDsA_C == ir2 | // LD A,C ; 79
LDsA_D == ir2 | // LD A,D ; 7A
LDsA_E == ir2 | // LD A,E ; 7B
LDsA_H == ir2 | // LD A,H ; 7C
LDsA_L == ir2 | // LD A,L ; 7D
LDsA_6HL7 == ir2 | // LD A,(HL) ; 7E
LDsA_A == ir2 ; // LD A,A ; 7F
assign up_a_n =
LDsA_N == ir2 | // LD A,N ; 3E XX
LDsA_6BC7 == ir2 | // LD A,(BC) ; 0A
LDsA_6DE7 == ir2 | // LD A,(DE) ; 1A
LDsA_6NN7 == ir2 | // LD A,(NN) ; 3A XX XX
INsA_6N7 == ir2 | // IN A,(N) ; DB XX
(ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_A) ;
 
 
//EXsAF_AFp = 10'h08,// EX AF,AF' ; 08
//EXX = 10'hD9,// EXX ; D9
//DAA = 10'h27,// DAA ; 27
//CPL = 10'h2F,// CPL ; 2F a <= ~a
//POPsAF = 10'hF1,// POP AF ; F1
// don't forget these beauties not affected by prefixes
//ED_RRD = 'h67// RRD ; compair with {ir2[9:6],ir2[3:0]} all
//ED_RLD = 'h6F// RLD ; ED 6F nibble roates A (HL)
//ED_NEG = 5'b01___100, // A<= -A compair with {ir2[9:6],ir2[2:0]}
 
//------------------------------- ar ------------------------------------------
 
assign upd_ar = upd_a_alu8 | up_a_sh_alu | up_a_src_pqr | up_a_n | ir2 == EXsAF_AFp |
ir2 == EXX | ir2 == DAA | ir2 == CPL | ir2 == POPsAF |
ir2[2:0] == REG8_A & bit_alu_act | ir2[2:0] == REG8_A & sh_alu_act |
{ir2[9:6], ir2[3:0]} == ED_RRD | {ir2[9:6], ir2[2:0]} == ED_NEG |
ir2 == ED_LDsA_I ;
always @(posedge clk)
begin
if (upd_a_alu8 & exec_ir2) ar <= alu8_out;
if (up_a_sh_alu & exec_ir2) ar <= sh_alu;
if (up_a_src_pqr & exec_ir2) ar <= src_pqr;
if (up_a_n & exec_ir2) ar <= nn[7:0];
if (ir2 == EXsAF_AFp & exec_ir2) ar <= ap;
if (ir2 == EXX & exec_ir2) ar <= ap;
if (ir2 == DAA & exec_ir2) ar <= daa_alu;
if (ir2 == CPL & exec_ir2) ar <= ~ar;
if (ir2 == POPsAF & exec_ir2) ar <= nn[15:8];
if (ir2[2:0] == REG8_A &
bit_alu_act & exec_ir2) ar <= bit_alu;
if (ir2[2:0] == REG8_A &
sh_alu_act & exec_ir2) ar <= sh_alu;
if ({ir2[9:6], ir2[3:0]} == ED_RRD & exec_ir2) ar[3:0] <= nn[3:0];
if ({ir2[9:6], ir2[3:0]} == ED_RLD & exec_ir2) ar[3:0] <= nn[7:4];
if ({ir2[9:6], ir2[2:0]} == ED_NEG & exec_ir2) ar <= alu8_out; // ED44 this done by alu8 for flags
if (ir2 == ED_LDsA_I & exec_ir2) ar <= ir2 ;
end
 
 
 
 
// update br
//assign upd_b_decbc =
// ED_LDI == ir2 | // LDI ; ED A0
// ED_CPI == ir2 | // CPI ; ED A1
// ED_LDD == ir2 | // LDD ; ED A8
// ED_CPD == ir2 | // CPD ; ED A9
// ED_LDIR == ir2 | // LDIR ; ED B0
// ED_CPIR == ir2 | // CPIR ; ED B1
// ED_LDDR == ir2 | // LDDR ; ED B8
// ED_CPDR == ir2 ;// CPDR ; ED B9
 
//assign eb_io =
 
// ED_INI == ir2 | // INI ; ED A2
// ED_IND == ir2 | // IND ; ED AA
// ED_OUTD == ir2 | // OUTD ; ED AB
// ED_OUTI == ir2 | // OUTI ; ED A3
// ED_INIR == ir2 | // INIR ; ED B2
// ED_OTIR == ir2 | // OTIR ; ED B3
// ED_INDR == ir2 | // INDR ; ED BA
// ED_OTDR == ir2 ; // OTDR ; ED BB
 
assign upd_b_alu8 =
INCsB == ir2 |// INC B ; 04
DECsB == ir2 ;// DEC B ; 05
 
 
assign up_b_src_pqr =
LDsB_B == ir2 |// LD B,B ; 40
LDsB_C == ir2 |// LD B,C ; 41
LDsB_D == ir2 |// LD B,D ; 42
LDsB_E == ir2 |// LD B,E ; 43
LDsB_H == ir2 |// LD B,H ; 44
LDsB_L == ir2 |// LD B,L ; 45
LDsB_6HL7 == ir2 |// LD B,(HL) ; 46
LDsB_A == ir2 ;// LD B,A ; 47
assign up_b_add16 =
INCsBC == ir2 |// INC BC ; 03
DECsBC == ir2 ;// DEC BC ; 0B
//LDsBC_nn = 10'h01,// LD BC,NN ; 01 XX XX
//POPsBC = 10'hC1,// POP BC ; C1
//EXX = 10'hD9,// EXX ; D9
//LDsB_N = 10'h06,// LD B,N ; 06 XX
//DJNZs$t2 = 10'h10,// DJNZ $+2 ; 10 XX //pre dec br
//ED_RRD = 'h67// RRD ; ED 67 nibble roates A HL
//ED_RLD = 'h6F// RLD ; ED 6F nibble roates A HL
//ED_INsREG_6C7 = 5'b01___000,// compair with {ir2[7:6],ir2[2:0]} really (BCio)
 
//------------------------------- br -----------------------------------------
 
assign upd_br = upd_b_alu8 | up_b_src_pqr | up_b_add16 | LDsBC_NN == ir2 |
POPsBC == ir2 | EXX == ir2 | LDsB_N == ir2 |
ir2[2:0] == REG8_B & bit_alu_act | ir2[2:0] == REG8_B & sh_alu_act |
DJNZs$t2 == ir2 | (ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_B);
 
 
always @(posedge clk)
begin
if ( upd_b_alu8 & exec_ir2) br <= alu8_out;
if ( up_b_src_pqr & exec_ir2) br <= src_pqr;
if ( up_b_add16 & exec_ir2) br <= add16[15:8];
if ( LDsBC_NN == ir2 & exec_ir2) br <= nn[15:8];
if ( POPsBC == ir2 & exec_ir2) br <= nn[15:8];
if ( EXX == ir2 & exec_ir2) br <= bp;
if ( LDsB_N == ir2 & exec_ir2) br <= nn[7:0];
if (ir2[2:0] == REG8_B &
bit_alu_act & exec_ir2) br <= bit_alu;
if (ir2[2:0] == REG8_B &
sh_alu_act & exec_ir2) br <= sh_alu;
if ( DJNZs$t2 == ir2 & exec_ir2) br <= br + 8'hff; // use seperate adder here as no flags
// change -- we need br==0. for now
// use |br. If we need more speed add
// a ff.
if (exec_decb | exec_decbc) br <= decb_alu;
if ( (ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_B) & exec_ir2 )
br <= nn[7:0];
end
 
 
// update cr
assign up_c_add16 =
INCsBC == ir2 |// INC BC ; 03
DECsBC == ir2 ;// DEC BC ; 0B,
assign upd_c_alu8 =
INCsC == ir2 |// INC C ; 0C
DECsC == ir2 ;// DEC C ; 0D
assign up_c_src_pqr =
LDsC_B == ir2 |// LD C,B ; 48
LDsC_C == ir2 |// LD C,C ; 49
LDsC_D == ir2 |// LD C,D ; 4A
LDsC_E == ir2 |// LD C,E ; 4B
LDsC_H == ir2 |// LD C,H ; 4C
LDsC_L == ir2 |// LD C,L ; 4D
LDsC_6HL7 == ir2 |// LD C,(HL) ; 4E
LDsC_A == ir2 ;// LD C,A ; 4F
 
 
//LDsC_N == ir2 |// LD C,N ; 0E XX
//LDsBC_NN = 10'h01,// LD BC,NN ; 01 XX XX
//POPsBC = 10'hC1,// POP BC ; C1
//EXX = 10'hD9,// EXX ; D9
//ED_INsREG_6C7 = 5'b01___000,// compair with {ir2[7:6],ir2[2:0]} really (BCio)
 
//------------------------------- cr -----------------------------------------
assign upd_cr = upd_c_alu8 | up_c_src_pqr | up_c_add16 | LDsBC_NN == ir2 |
POPsBC == ir2 | EXX == ir2 | LDsC_N == ir2 |
ir2[2:0] == REG8_C & bit_alu_act | ir2[2:0] == REG8_C & sh_alu_act |
(ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_C);
 
 
 
always @(posedge clk)
begin
if ( upd_c_alu8 & exec_ir2) cr <= alu8_out;
if ( up_c_src_pqr & exec_ir2) cr <= src_pqr;
if ( up_c_add16 & exec_ir2) cr <= add16[7:0];
if ( LDsBC_NN == ir2 & exec_ir2) cr <= nn[7:0];
if ( POPsBC == ir2 & exec_ir2) cr <= nn[7:0];
if ( EXX == ir2 & exec_ir2) cr <= cp;
if ( LDsC_N == ir2 & exec_ir2) cr <= nn[7:0];
if (ir2[2:0] == REG8_C &
bit_alu_act & exec_ir2) cr <= bit_alu;
if (ir2[2:0] == REG8_C &
sh_alu_act & exec_ir2) cr <= sh_alu;
if ( exec_decbc) cr <= decc_alu;
if ((ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_C) & exec_ir2)
cr <= nn[7:0];
end
 
 
// update dr
assign up_d_add16 =
INCsDE == 10'h13 | // INC DE ; 13
DECsDE == 10'h1B ; // DEC DE ; 1B
 
assign upd_d_alu8 =
INCsD == 10'h14 | // INC D ; 14
DECsD == 10'h15 ; // DEC D ; 15
assign up_d_src_pqr =
LDsD_B == ir2 | //LD D,B ; 50
LDsD_C == ir2 | //LD D,C ; 51
LDsD_D == ir2 | //LD D,D ; 52
LDsD_E == ir2 | //LD D,E ; 53
LDsD_H == ir2 | //LD D,H ; 54
LDsD_L == ir2 | //LD D,L ; 55
LDsD_6HL7 == ir2 | //LD D,(HL) ; 56endmodule
LDsD_A == ir2 ; //LD D,A ; 57
 
//LDsD_N = 10'h16,// LD D,N ; 16 XX
//LDsDE_NN = 10'h11,// LD DE,NN ; 11 XX XX
//POPsDE = 10'hD1,// POP DE ; D1
//EXX = 10'hD9,// EXX ; D9
//EXsDE_HL = 10'hEB,// EX DE,HL ; EB
//ED_INsREG_6C7 = 5'b01___000,// compair with {ir2[7:6],ir2[2:0]} really (BCio)
 
//---------------------------------- dr ------------------------------------
 
assign upd_dr = upd_d_alu8 | up_d_src_pqr | up_d_add16 | LDsDE_NN == ir2 |
POPsDE == ir2 | EXX == ir2 | EXsDE_HL == ir2 | LDsD_N == ir2 |
ir2[2:0] == REG8_D & bit_alu_act | ir2[2:0] == REG8_D & sh_alu_act |
(ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_D);
 
 
 
 
 
 
always @(posedge clk)
begin
if ( upd_d_alu8 & exec_ir2) dr <= alu8_out;
if ( up_d_src_pqr & exec_ir2) dr <= src_pqr;
if ( up_d_add16 & exec_ir2) dr <= add16[15:8];
if ( LDsDE_NN == ir2 & exec_ir2) dr <= nn[15:8];
if ( POPsDE == ir2 & exec_ir2) dr <= nn[15:8];
if ( EXX == ir2 & exec_ir2) dr <= dp;
if ( EXsDE_HL == ir2 & exec_ir2) dr <= hr;
if ( LDsD_N == ir2 & exec_ir2) dr <= nn[7:0];
if (ir2[2:0] == REG8_D &
bit_alu_act & exec_ir2) dr <= bit_alu;
if (ir2[2:0] == REG8_D &
sh_alu_act & exec_ir2) dr <= sh_alu;
if ((ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]})
& (ir2[5:3] == REG8_D) & exec_ir2)
dr <= nn[7:0];
 
end
 
// update er
assign up_e_add16 =
INCsDE == ir2 |// INC DE ; 13
DECsDE == ir2 ;// DEC DE ; 1B
assign upd_e_alu8 =
INCsE == ir2 |// INC E ; 1C
DECsE == ir2 ;// DEC E ; 1D
assign up_e_src_pqr =
LDsE_B == ir2 |// LD E,B ; 58
LDsE_C == ir2 |// LD E,C ; 59
LDsE_D == ir2 |// LD E,D ; 5A
LDsE_E == ir2 |// LD E,E ; 5B
LDsE_H == ir2 |// LD E,H ; 5C
LDsE_L == ir2 |// LD E,L ; 5D
LDsE_6HL7 == ir2 |// LD E,(HL) ; 5E
LDsE_A == ir2 ;// LD E,A ; 5F
 
//LDsE_N = 10'h1E,// LD E,N ; 1E XX
//LDsDE_NN = 10'h11,// LD DE,NN ; 11 XX XX
//POPsDE = 10'hD1,// POP DE ; D1
//EXX = 10'hD9,// EXX ; D9
//EXsDE_HL = 10'hEB,// EX DE,HL ; EB
//ED_INsREG_6C7 = 5'b01___000,// compair with {ir2[7:6],ir2[2:0]} really (BCio)
 
//---------------------------------- er ------------------------------------
 
 
assign upd_er = upd_e_alu8 | up_e_src_pqr | up_e_add16 | LDsDE_NN == ir2 |
POPsDE == ir2 | EXX == ir2 | EXsDE_HL == ir2 | LDsD_N == ir2 |
ir2[2:0] == REG8_E & bit_alu_act | ir2[2:0] == REG8_E & sh_alu_act |
(ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_E);
 
 
 
 
 
 
 
 
 
always @(posedge clk)
begin
if ( upd_e_alu8 & exec_ir2) er <= alu8_out;
if ( up_e_src_pqr & exec_ir2) er <= src_pqr;
if ( up_e_add16 & exec_ir2) er <= add16;
if ( LDsDE_NN == ir2 & exec_ir2) er <= nn[7:0];
if ( POPsDE == ir2 & exec_ir2) er <= nn[7:0];
if ( EXX == ir2 & exec_ir2) er <= ep;
if ( EXsDE_HL == ir2 & exec_ir2) er <= hr;
if ( LDsE_N == ir2 & exec_ir2) er <= nn[7:0];
if (ir2[2:0] == REG8_E &
bit_alu_act & exec_ir2) er <= bit_alu;
if (ir2[2:0] == REG8_E &
sh_alu_act & exec_ir2) er <= sh_alu;
if ((ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_E) & exec_ir2)
er <= nn[7:0];
end
 
 
// update hr
assign up_h_add16 =
ADDsHL_BC == ir2 | // ADD HL,BC ; 09
ADDsHL_DE == ir2 | // ADD HL,DE ; 19
ADDsHL_HL == ir2 | // ADD HL,HL ; 29
ADDsHL_SP == ir2 | // ADD HL,SP ; 39
INCsHL == ir2 | // INC HL ; 23
DECsHL == ir2 ; // DEC HL ; 2B
assign upd_h_alu8 =
INCsH == ir2 | // INC H ; 24
DECsH == ir2 ; // DEC H ; 25
assign upd_h_src_pqr =
LDsH_B == ir2 | // LD H,B ; 60
LDsH_C == ir2 | // LD H,C ; 61
LDsH_D == ir2 | // LD H,D ; 62
LDsH_E == ir2 | // LD H,E ; 63
LDsH_H == ir2 | // LD H,H ; 64
LDsH_L == ir2 | // LD H,L ; 65
LDsH_6HL7 == ir2 | // LD H,(HL) ; 66
LDsH_A == ir2 ; // LD H,A ; 67
//ED_INsREG_6C7 = 5'b01___000,// compair with {ir2[7:6],ir2[2:0]} really (BCio)
 
//POPsHL = 10'hE1,// POP HL ; E1
//EXs6SP7_HL = 10'hE3,// EX (SP),HL ; E3
//LDsHL_NN = 10'h21,// LD HL,NN ; 21 XX XX
//LDsHL_6NN7 = 10'h2A,// LD HL,(NN) ; 2A XX XX
//LDsH_N = 10'h26,// LD H,N ; 26 XX
 
// only these are not affected by dd and fd prefixes
//EXsDE_HL = 10'hEB,// EX DE,HL ; EB
//EXX = 10'hD9,// EXX ; D9
 
//---------------------------------- hr ------------------------------------
// we just check hr and lr - the prefixes for use of ix and iy imply that something
// pretty strange has to happen for a hazard related to use of those registers. We can
// assume upd hr impies upd ix and iy without adverse timing consequences.
//
assign upd_hr = upd_h_alu8 | up_h_src_pqr | up_h_add16 | LDsHL_NN == ir2 | LDsHL_6NN7== ir2 |
POPsHL == ir2 | EXX == ir2 | EXsDE_HL == ir2 | LDsH_N == ir2 |
ir2[2:0] == REG8_H & bit_alu_act | ir2[2:0] == REG8_H & sh_alu_act |
(ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_H);
 
 
 
 
wire exec_hlir2 = exec_ir2 & !(dd_grp | fd_grp);
 
always @(posedge clk)
begin
if ( upd_h_alu8 & exec_hlir2) hr <= alu8_out;
if ( up_h_src_pqr & exec_hlir2) hr <= src_pqr;
if ( up_h_add16 & exec_hlir2) hr <= add16[15:8];
if ( LDsHL_NN == ir2 & exec_hlir2) hr <= nn[15:8];
if ( LDsHL_6NN7== ir2 & exec_hlir2) hr <= nn[15:8];
if ( POPsHL == ir2 & exec_hlir2) hr <= nn[15:8];
if ( EXs6SP7_HL== ir2 & exec_hlir2) hr <= nn[15:8];
if ( EXX == ir2 & exec_ir2) hr <= hp;
if ( EXsDE_HL == ir2 & exec_ir2) hr <= dr;
if ( LDsH_N == ir2 & exec_hlir2) hr <= nn[7:0];
if (ir2[2:0] == REG8_H &
bit_alu_act & exec_hlir2) hr <= bit_alu;
if (ir2[2:0] == REG8_H &
sh_alu_act & exec_hlir2) hr <= sh_alu;
if ((ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_H) & exec_ir2)
hr <= nn[7:0];
 
end
 
// update lr
assign up_l_add16 =
ADDsHL_BC == ir2 |// ADD HL,BC ; 09
ADDsHL_DE == ir2 |// ADD HL,DE ; 19
ADDsHL_HL == ir2 |// ADD HL,HL ; 29
ADDsHL_SP == ir2 |// ADD HL,SP ; 39
INCsHL == ir2 |// INC HL ; 23
DECsHL == ir2 ;// DEC HL ; 2B
assign upd_l_alu8 =
INCsL == ir2 |// INC L ; 2C
DECsL == ir2 ;// DEC L ; 2D
assign upd_l_src_pqr =
LDsL_B == ir2 |// LD L,B ; 68
LDsL_C == ir2 |// LD L,C ; 69
LDsL_D == ir2 |// LD L,D ; 6A
LDsL_E == ir2 |// LD L,E ; 6B
LDsL_H == ir2 |// LD L,H ; 6C
LDsL_L == ir2 |// LD L,L ; 6D
LDsL_6HL7 == ir2 |// LD L,(HL) ; 6E
LDsL_A == ir2 ;// LD L,A ; 6F
//EXX = 10'hD9,// EXX ; D9
//POPsHL = 10'hE1,// POP HL ; E1
//EXs6SP7_HL = 10'hE3,// EX (SP),HL ; E3
//EXsDE_HL = 10'hEB,// EX DE,HL ; EB
//LDsHL_NN = 10'h21,// LD HL,NN ; 21 XX XX
//LDsHL_6NN7 = 10'h2A,// LD HL,(NN) ; 2A XX XX
//LDsL_N = 10'h2E,// LD L,N ; 2E XX
//ED_INsREG_6C7
 
 
 
//---------------------------------- lr ------------------------------------
assign upd_lr = upd_l_alu8 | up_l_src_pqr | up_l_add16 | LDsHL_NN == ir2 | LDsHL_6NN7== ir2 |
POPsHL == ir2 | EXX == ir2 | EXsDE_HL == ir2 | LDsL_N == ir2 |
ir2[2:0] == REG8_L & bit_alu_act | ir2[2:0] == REG8_L & sh_alu_act |
(ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_L);
 
 
 
always @(posedge clk)
begin
if ( upd_l_alu8 & exec_hlir2) lr <= alu8_out;
if ( up_l_src_pqr & exec_hlir2) lr <= src_pqr;
if ( up_l_add16 & exec_hlir2) lr <= add16[7:0];
if ( LDsHL_NN == ir2 & exec_hlir2) lr <= nn[7:0];
if ( LDsHL_6NN7== ir2 & exec_hlir2) lr <= nn[7:0];
if ( POPsHL == ir2 & exec_hlir2) lr <= nn[7:0];
if ( EXs6SP7_HL== ir2 & exec_hlir2) lr <= nn[7:0];
if ( EXX == ir2 & exec_ir2) lr <= lp;
if ( EXsDE_HL == ir2 & exec_ir2) lr <= er;
if ( LDsL_N == ir2 & exec_hlir2) lr <= nn[7:0];
if (ir2[2:0] == REG8_L &
bit_alu_act & exec_hlir2) lr <= bit_alu;
if (ir2[2:0] == REG8_L &
sh_alu_act & exec_hlir2) lr <= sh_alu;
if ((ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) & (ir2[5:3] == REG8_L) & exec_ir2)
lr <= nn[7:0];
end
//------------------------ ixr ---------------------------------------------
wire exec_ixir2 = exec_ir2 & dd_grp;
always @(posedge clk)
begin
if ( upd_l_alu8 & exec_ixir2) ixr[7:0] <= alu8_out;
if ( up_l_src_pqr & exec_ixir2) ixr[7:0] <= src_pqr;
if ( up_l_add16 & exec_ixir2) ixr[7:0] <= add16[7:0];
if ( LDsHL_NN == ir2 & exec_ixir2) ixr[7:0] <= nn[7:0];
if ( LDsHL_6NN7== ir2 & exec_ixir2) ixr[7:0] <= nn[7:0];
if ( POPsHL == ir2 & exec_ixir2) ixr[7:0] <= nn[7:0];
if ( EXs6SP7_HL== ir2 & exec_ixir2) ixr[7:0] <= nn[7:0];
 
if ( LDsL_N == ir2 & exec_ixir2) ixr[7:0] <= nn[7:0];
if (ir2[2:0] == REG8_L &
bit_alu_act & exec_ixir2) ixr[7:0] <= bit_alu;
if (ir2[2:0] == REG8_L &
sh_alu_act & exec_ixir2) ixr[7:0] <= sh_alu;
end
 
always @(posedge clk)
begin
if ( upd_h_alu8 & exec_ixir2) ixr[15:8] <= alu8_out;
if ( up_h_src_pqr & exec_ixir2) ixr[15:8] <= src_pqr;
if ( up_h_add16 & exec_ixir2) ixr[15:8] <= add16[15:8];
if ( LDsHL_NN == ir2 & exec_ixir2) ixr[15:8] <= nn[15:8];
if ( LDsHL_6NN7== ir2 & exec_ixir2) ixr[15:8] <= nn[15:8];
if ( POPsHL == ir2 & exec_ixir2) ixr[15:8] <= nn[15:8];
if ( EXs6SP7_HL== ir2 & exec_ixir2) ixr[15:8] <= nn[15:8];
 
if ( LDsH_N == ir2 & exec_ixir2) ixr[15:8] <= nn[7:0];
if (ir2[2:0] == REG8_H &
bit_alu_act & exec_ixir2) ixr[15:8] <= bit_alu;
if (ir2[2:0] == REG8_H &
sh_alu_act & exec_ixir2) ixr[15:8] <= sh_alu;
end
 
//------------------------ iyr ---------------------------------------------
wire exec_iyir2 = exec_ir2 & fd_grp;
always @(posedge clk)
begin
if ( upd_l_alu8 & exec_iyir2) iyr[7:0] <= alu8_out;
if ( up_l_src_pqr & exec_iyir2) iyr[7:0] <= src_pqr;
if ( up_l_add16 & exec_iyir2) iyr[7:0] <= add16[7:0];
if ( LDsHL_NN == ir2 & exec_iyir2) iyr[7:0] <= nn[7:0];
if ( LDsHL_6NN7== ir2 & exec_iyir2) iyr[7:0] <= nn[7:0];
if ( POPsHL == ir2 & exec_iyir2) iyr[7:0] <= nn[7:0];
if ( EXs6SP7_HL== ir2 & exec_iyir2) iyr[7:0] <= nn[7:0];
 
if ( LDsL_N == ir2 & exec_iyir2) iyr[7:0] <= nn[7:0];
if (ir2[2:0] == REG8_L &
bit_alu_act & exec_iyir2) iyr[7:0] <= bit_alu;
if (ir2[2:0] == REG8_L &
sh_alu_act & exec_iyir2) iyr[7:0] <= sh_alu;
end
 
always @(posedge clk)
begin
if ( upd_h_alu8 & exec_iyir2) iyr[15:8] <= alu8_out;
if ( up_h_src_pqr & exec_iyir2) iyr[15:8] <= src_pqr;
if ( up_h_add16 & exec_iyir2) iyr[15:8] <= add16[15:8];
if ( LDsHL_NN == ir2 & exec_iyir2) iyr[15:8] <= nn[15:8];
if ( LDsHL_6NN7== ir2 & exec_iyir2) iyr[15:8] <= nn[15:8];
if ( POPsHL == ir2 & exec_iyir2) iyr[15:8] <= nn[15:8];
if ( EXs6SP7_HL== ir2 & exec_iyir2) iyr[15:8] <= nn[15:8];
 
if ( LDsH_N == ir2 & exec_iyir2) iyr[15:8] <= nn[7:0];
if (ir2[2:0] == REG8_H &
bit_alu_act & exec_iyir2) iyr[15:8] <= bit_alu;
if (ir2[2:0] == REG8_H &
sh_alu_act & exec_iyir2) iyr[15:8] <= sh_alu;
end
 
 
//---------------------------- prime regiters (shadows?) ----------------
 
always @(posedge clk)
begin
if (ir2 == EXsAF_AFp & exec_ir2)
begin
ap <= ar;
fp <= fr;
end
if (ir2 == EXX & exec_ir2)
begin
ap <= ar;
fp <= fr;
bp <= br;
cp <= cr;
dp <= dr;
ep <= er;
hp <= hr;
lp <= lr;
end
end
//-------------------------- flag registers -------------------------------
// This is a mess - There is in general no reasonable way to get this stuff to follow
// z80 exactly. --- in some of the undocumented cases, there is not even a
// guess expressed about what is actually done. In some of the other undocumented
// cases, what is claimed happens is soo silly that It is hard for me to believe
// it matters ( unfortunately i am far too aware that one man's garbage can be
// anothers treasure --- or....., its amazing how silly
// behavior (bug?) can become a feature. In any case, The attempt (at first blush) is
// only to get the documented stuff right -- although if undocumented behavior
// falls out, great. For exmple, I will typically update f3f and f5f with alu output -
// these flags are documented as "undefined".
//
// some of the wierd stuff to worry about:
// 16 bit ops:
// the ed insts SBC ADC muck with all flags but
// the ADD inst doesn't change sf zf or pvf.
// and the 16 bit INC and DEC insts touch nothing
//
// the ED_RLD and RRD instructions muck with flags based on ar -- these operations
// should be correct rleative to subsequent DAA's i suppose.
 
// update all flags from alu8 for logic operations pv <= parity else ofl
// INC and DEC same as but no cf change oh my god why? done in logic above
 
assign upd_fr_alu8 =
ADCsA_A == ir2 | ANDsA == ir2 | ORsA == ir2 | SUBsA == ir2 | DECsA == ir2 |
ADCsA_B == ir2 | ANDsB == ir2 | ORsB == ir2 | SUBsB == ir2 | DECsB == ir2 |
ADCsA_C == ir2 | ANDsC == ir2 | ORsC == ir2 | SUBsC == ir2 | DECsC == ir2 |
ADCsA_D == ir2 | ANDsD == ir2 | ORsD == ir2 | SUBsD == ir2 | DECsD == ir2 |
ADCsA_E == ir2 | ANDsE == ir2 | ORsE == ir2 | SUBsE == ir2 | DECsE == ir2 |
ADCsA_H == ir2 | ANDsH == ir2 | ORsH == ir2 | SUBsH == ir2 | DECsH == ir2 |
ADCsA_L == ir2 | ANDsL == ir2 | ORsL == ir2 | SUBsL == ir2 | DECsL == ir2 |
ADCsA_6HL7==ir2 | ANDs6HL7 ==ir2 | ORs6HL7 ==ir2 | SUBs6HL7 ==ir2 | INCsA == ir2 |
ADDsA_A == ir2 | CPsA == ir2 | SBCsA == ir2 | XORsA == ir2 | INCsB == ir2 |
ADDsA_B == ir2 | CPsB == ir2 | SBCsA_6HL7==ir2 | XORsB == ir2 | INCsC == ir2 |
ADDsA_C == ir2 | CPsC == ir2 | SBCsB == ir2 | XORsC == ir2 | INCsD == ir2 |
ADDsA_D == ir2 | CPsD == ir2 | SBCsC == ir2 | XORsD == ir2 | INCsE == ir2 |
ADDsA_E == ir2 | CPsE == ir2 | SBCsD == ir2 | XORsE == ir2 | INCsH == ir2 |
ADDsA_H == ir2 | CPsH == ir2 | SBCsE == ir2 | XORsH == ir2 | INCsL == ir2 |
ADDsA_L == ir2 | CPsL == ir2 | SBCsH == ir2 | XORsL == ir2 | INCs6HL7 == ir2 |
ADDsA_6HL7== ir2| CPs6HL7 ==ir2 | SBCsL == ir2 | XORs6HL7 == ir2 | DECs6HL7 == ir2 |
ED_NEG == {ir2[9:6],ir2[2:0]} ; //7'b1001___100, A<= -A
 
 
// update h n c (f5, f3) from alu16
assign upd_fr_add16 =
ADDsHL_BC == ir2 | // ADD HL,BC ; 09
ADDsHL_DE == ir2 | // ADD HL,DE ; 19
ADDsHL_HL == ir2 | // ADD HL,HL ; 29
ADDsHL_SP == ir2 ; // ADD HL,SP ; 39
// INCsBC == ir2 | // INC BC ; 03 no flag changes for these
// INCsDE == ir2 | // INC DE ; 13
// INCsHL == ir2 | // INC HL ; 23
// INCsSP == ir2 ; // INC SP ; 33
 
// update all flags from alu16
assign upd_fr_edadd16 =
ED_SBCsHL_REG == {ir2[7:6],ir2[3:0]} | // compair with {ir2[7:6],ir2[3:0]}
ED_ADCsHL_REG == {ir2[7:6],ir2[3:0]} ; // compair with {ir2[7:6],ir2[3:0]}
 
 
// the shifts probably muck with all flags (some operations are
// guarenteed not to change certain flags )
// docs say sf and zf never change for these ops.
assign upd_fr_sh =
RLA == ir2 |// RLA ; 17
RLCA == ir2 |// RLCA ; 07
RRA == ir2 |// RRA ; 1F
RRCA == ir2 ;// RRCA ; 0F
// sf and zf do change for theses
assign upd_fr_cbsh =
CB_RLC == ir2[9:3] | // these must be compaired with ir2[9:3]
CB_RRC == ir2[9:3] | // these must be compaired with ir2[9:3]
CB_RL == ir2[9:3] | // these must be compaired with ir2[9:3]
CB_RR == ir2[9:3] | // these must be compaired with ir2[9:3]
CB_SLA == ir2[9:3] | // these must be compaired with ir2[9:3]
CB_SRA == ir2[9:3] | // these must be compaired with ir2[9:3]
CB_SLL == ir2[9:3] | // these must be compaired with ir2[9:3]
CB_SRL == ir2[9:3] ; // these must be compaired with ir2[9:3]
 
// pretty nomal stuff here
//CB_BIT = 4'b01_01, // these must be compaired with ir2[9:6]
// which alu? -- done from alu8
//ED_NEG = 5'b01___100, // compair with {ir2[7:6],ir2[2:0]} all A<= -A
 
// rmw 8 types these handled by standard INC and DEC logic done.
//INCs6HL7 = 'h34,// INC (HL) ; 34
//DECs6HL7 = 'h35,// DEC (HL) ; 35
 
// ED Block Move messyness upd_b_decbc
// hf and nf <= 0 pnf<= BC==0
assign eb_blk_mv =
ED_LDI == ir2 | // LDI ; ED A0 (DE++) <= (HL++) , BC--
ED_LDD == ir2 | // LDD ; ED A8 (DE--) <= (HL--) , BC--
ED_LDIR == ir2 | // LDIR ; ED B0 (DE++) <= (HL++) , BC-- Repeat til BC==0
ED_LDDR == ir2 ;// LDDR ; ED B8 (DE--) <= (HL--) , BC-- Repeat til BC==0
// only c not affected - nf<=1 ?
assign ed_blk_cp =
ED_CPI == ir2 | // CPI ; ED A1 A - (HL++) , BC--
ED_CPD == ir2 | // CPD ; ED A9 A - (HL--) , BC--
ED_CPIR == ir2 | // CPIR ; ED B1 A - (HL++) , BC-- repeat if(|B
ED_CPDR == ir2 ;// CPDR ; ED B9 A - (HL--) , BC-- repeat if(|B
 
// all the ed i/o muck with all flags -- wonderful cf?
// use the aluoutput for the b-1 computation.
// --------- eb_io
//ED_INI = 'hA2// INI ; ED A2 (HL++) <- (Cio) , B--
//ED_IND = 'hAA// IND ; ED AA (HL--) <- (Cio) , B--
//ED_INIR = 'hB2// INIR ; ED B2 (HL++) <- (Cio) , B-- repeat if(|B)
//ED_INDR = 'hBA// INDR ; ED BA (HL--) <- (Cio) , B-- repeat if(|B)
//ED_OUTI = 'hA3// OUTI ; ED A3 (Cio) <-(HL++) , B--
//ED_OUTD = 'hAB// OUTD ; ED AB (Cio) <-(HL--) , B--
//ED_OTIR = 'hB3// OTIR ; ED B3 (Cio) <-(HL++) , B-- rpt if(|B)
//ED_OTDR = 'hBB// OTDR ; ED BB (Cio) <-(HL--) , B-- rpt if(|B)
 
//ED_INsREG_6C7 = 5'b01___000,// compair with {ir2[7:6],ir2[2:0]} really (BCio)
 
 
 
// special problems -- lol more special problems ????
//CCF = 'h3F,// CCF ; 3F // h<=c c<=~C N<=0 F3,F5?
//CPL = 'h2F,// CPL ; 2F // H<=1 N<=1 F3,F5?
//DAA = 'h27,// DAA ; 27 // H<=0???
//SCF = 'h37,// SCF ; 37
//ED_RRD = 'h67// RRD ; ED 67 nibble roates A HL
//ED_RLD = 'h6F// RLD ; ED 6F nibble roates A HL
//ED_LDsA_I = 'h57// LD A,I ; ED 57 move I to A
 
assign { sf, zf, f5f, hf, f3f, pvf, nf, cf} = fr;
// gotta say those little ~^ operators down there worry me. Only 4 levels of xor - but jeeze
// there are a lot of them. I guess in most FPGA's it doesn't matter what the op is - just
// how many terms.
 
 
// do we need the exe_ir2 term here? isn't it added in the hazard term anyway?
assign upd_fr = exec_ir2 & ( ( upd_fr_alu8 ) |
( upd_fr_add16) |
( upd_fr_edadd16) |
( upd_fr_sh ) |
( upd_fr_cbsh ) |
(CB_BIT == ir2[9:6]) |
( ed_blk_cp ) |
(ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]}) |
(CCF == ir2 ) |
(CPL == ir2 ) |
(DAA == ir2 ) |
(SCF == ir2 ) |
(ED_RRD == ir2) |
(ED_RLD == ir2) |
(ED_LDsA_I == ir2) ) ;
 
wire iff2 = 1'b0; // this is supposed to be int ff #2 which is not (yet) implmented
always @(posedge clk)
begin
if (exec_ir2)
begin
if ( upd_fr_alu8 ) fr <= alu8_fr; // assembled above with 8 bit ALU
if ( upd_fr_add16) fr <= {sf, zf, add16[13], c_16out11, add16[11], pvf, 1'b0, c_16out15};
if ( upd_fr_edadd16) fr <= {add16[15], ~|add16, add16[13], c_out11,
add16[11], add16_ofl, ~ir2[3], c_16out15};
if ( upd_fr_sh ) fr <= {sf, zf, sh_alu[5], 1'b0, sh_alu[3], pvf, 1'b0, sh_cry};
if ( upd_fr_cbsh ) fr <= {sh_alu[7], ~|sh_alu, sh_alu[5], 1'b0,
sh_alu[3], ~^sh_alu, 1'b0, sh_cry};
if (CB_BIT == ir2[9:6]) fr <={bit_alu[7], ~|bit_alu, bit_alu[5], 1'b1, //no idea why hf<=1
bit_alu[3], ~|bit_alu, 1'b0 , cf };// pvf == zf ???
if ( ed_blk_cp ) fr <= {alu8_out[7], ~|alu8_out, alu8_out[5], alu8_hcry,//std a-n stuff
alu8_out[3], alu8_out[7], 1'b1, cf }; //cept nf and cf
if (ED_INsREG_6C7 == {ir2[7:6],ir2[2:0]})
fr <= {nn[7], ~|nn[7:0], nn[5], 1'b0, nn[3], ~^nn[7:0], 1'b0, cf};
if (CCF == ir2 ) fr <= {sf, zf, f5f, cf, f3f, pvf, nf, ~cf};
if (CPL == ir2 ) fr <= {sf, zf, ar[5], 1'b1, ar[3], pvf, 1'b1, cf};
if (DAA == ir2 ) fr <= {daa_alu[7], ~|daa_alu, daa_alu[5], 1'b0, // hf sb (logically) 0
daa_alu[3], ~^daa_alu, nf, daa_cry };
if (SCF == ir2 ) fr <= { sf, zf, ar[5], 1'b0, ar[3], pvf, 1'b0, 1'b1 }; // very strange
if (ED_RRD == ir2) fr <= { sf, ~|{ar[7:4],nn[3:0]}, ar[5], 1'b0,
ar[3], ~^{ar[7:4],nn[3:0]}, 1'b0 , cf };
if (ED_RLD == ir2) fr <= { sf, ~|{ar[7:4],nn[7:4]}, ar[5], 1'b0,
ar[3], ~^{ar[7:4],nn[7:4]}, 1'b0 , cf };
if (ED_LDsA_I == ir2) fr <= { ir2[7], ~|ir2, ir2[5], 1'b0, ir2[3], iff2, 1'b0, cf }; // iff2 ?
end
// in the case of blk_cp the update above is executed 2nd - and so these are don't cares.
if (exec_decb ) fr <= {decb_alu[7], ~|decb_alu, decb_alu[5], hf,
decb_alu[3], pvf, 1'b0, cf };
if (exec_decbc ) fr[5:1] <= { decb_alu[5], 1'b0, decb_alu[3], ~|decb_alu, 1'b0 };
end
//----------------------- intr -----------------------------------------------------------
always @(posedge clk)
begin
if (( ED_LDsI_A == ir2) & exec_ir2) intr <= ar;
end
endmodule
/trunk/rtl/memstate2.v
0,0 → 1,1584
///////////////////////////////////////////////////////////////////////////////////////////////////
// //
// file name: memstate2.v //
// description: memory opertions for z80 //
// project: wb_z80 //
// //
// Author: B.J. Porcella //
// e-mail: bporcella@sbcglobal.net //
// //
// //
// //
///////////////////////////////////////////////////////////////////////////////////////////////////
// //
// Copyright (C) 2000-2002 B.J. Porcella //
// Real Time Solutions //
// //
// //
// This source file may be used and distributed without //
// restriction provided that this copyright statement is not //
// removed from the file and that any derivative work contains //
// the original copyright notice and the associated disclaimer. //
// //
// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //
// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //
// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //
// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //
// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //
// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //
// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //
// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //
// POSSIBILITY OF SUCH DAMAGE. //
// //
//-------1---------2---------3--------Comments on file -------------7---------8---------9--------0
// The memory state controller controls the wb bus, and provides address sequencing.
// Insructions are fetched in order (using PC) until the istate machine indicates that
// a complete instruction is in the first pipline stage (ir1). In general, operands are being
// fetched (stored) to satisfy ir1 while concurrently instructions are being executed from ir2.
// this situation can result in a number of potential hazards. As an example, if the ir2
// instruction changes the flag register and the ir1 instruction is a conditional jump,
// a hazard is generated by the hazard logic, and execution of the ir1 operation is delayed
// until the completion of the flag update.
//
// Reset starts execution at 0.
// The PC and SP are described in this file. modifications to other index registers -
// HL IX and IY are computed here --
// For the block moves address updates are computed here -- and commanded here.
// Strobes for the second address update are generally co-incident with count updates, but
// we provide seperate strobe update lines for clarity.
//
// BASIC ARCHITECTURE OF THIS FILE pc and sp not shown, but are inputs to src mux.
// _____ and may be updated from adder output.
// | |
// | | pc-1 register is required to implement relative jumps.
// | |
// _____ |lit | |\
// | | | | | \
// | | |src2 | | \ _____ _____
// | | | |----->| | | | | |
// |src | |_____| |adder|------->| | | |
// |mux | | | | | | |
// | |------------------->| / |2/1 |------->|wb |
// | | | | / |mux | |adr |
// |_____| | |/ | | | |
// ------------------->| | | |
// |_____| |_____|
//
//
//
//
// Operand Stores:
// At first cut, I thought I'ld execute operand stores immediately from the memory sequencer
// (essentially before ir2 got the store data). While this might be modestly faster in
// systems that take multiple clocks to complete a memory store, On consideration, I decided
// to forgo the extra speed for conceptual simplicity.... execute operand stores on op_ph1,
// and let the inst_exec engine suply the operand.
//
// On second thought, above is not only wastful of time, but also inconsistent with the overall
// schems of things - and so somewhat more complex. If we simply execute the OS from ir1,
// There is less state to contdend with, as well as extra speed.
//
// Block Moves fundamentally execute from ir2. We initiate the first operand fetch from ir1.
//
// 3/18/2004 Second time through. In impleenting the execution logic it became clear that
// there were "minor" problems with the handling of the DD and FD prefix insts (especially
// DDCD and FDCB --- collectively called PFxCB below. On review, I had to question the
// value of "breaking up" the ir0 execution engine between the istate sequencer and the
// memstate sequencer. While I dislike state sequencers of much more than 16 states --
// the interaction between these sequencers was becomming harder to track than a single
// state macine. Thus - this file is getting re-worked. I will call it memstate2 (at least
// for awhile) as I wish to keep the old file around. I want to show (in the state machine
// logic) what the next memory operation is.... guess the best method consistent with my
// documentation practices is to define a register (mem_op) = { if, wb_we, wb_cyc }.
// This will require auxillary logic for computing the address --- but most of the decodes
// required will be there anyway.
// On further reflection, I think I will bite-the-bullet and use an always to define next_state.
// I don't like to use always to define wires, but I also want to dicument the setting of
// exec_ir2 in the same place - that is 3 different things.
//
// Hazards:
// There are 2 kinds of hazards: mem_hazard => we are storing into the next instruction location
// reg_hazard => we are modifying a register (ir2) that we are using
// here (ir1)
// In the former case, we throw out the instruction that arrives on the next tick, and restart the
// instruction pipeline, In the latter case, we simply wait a tick for the ir2 operaton to
// complete before starting the ir1 operation
//-------1---------2---------3--------CVS Log -----------------------7---------8---------9--------0
//
// $Id: memstate2.v,v 1.1.1.1 2004-04-13 23:50:19 bporcella Exp $
//
// $Date: 2004-04-13 23:50:19 $
// $Revision: 1.1.1.1 $
// $Author: bporcella $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
//
//
//-------1---------2---------3--------Module Name and Port List------7---------8---------9--------0
module memstate2(wb_adr, wb_we, wb_cyc, wb_stb, wb_lock, wb_tga_io, wb_dat_o, add_out,
exec_ir2, ir1, ir2, ir1dd, ir1fd, ir2dd, ir2fd, nn, sp,
upd_ar, upd_br, upd_cr, upd_dr, upd_er, upd_hr, upd_lr,upd_fr,
beq0, ceq0,
ar, fr, br, cr, dr, er, hr, lr,
ixr, iyr,
wb_dat_i, wb_ack, clk, rst,
wb_int_rq,
add16
 
 
);
 
//-------1---------2---------3--------Output Ports---------6---------7---------8---------9--------0
 
output [15:0] wb_adr;
output wb_we;
output wb_cyc;
output wb_stb;
output wb_lock; // bit set and clear insts should be atomic - could matter sometime
output wb_tga_io;
output wb_dat_o; // from nn
output [15:0] add_out; // output of adder (may not wb_adr)
 
output exec_ir2;
output [9:0] ir1, ir2;
output ir1dd, ir2dd;
output ir1fd, ir2fd;
output [15:0] nn;
output [15:0] sp;
 
 
 
 
//-------1---------2---------3--------Input Ports----------6---------7---------8---------9--------0
input upd_ar, upd_br, upd_cr, upd_dr, upd_er, upd_hr, upd_lr,upd_fr;
 
input beq0, ceq0;
input [7:0] ar, fr, br, cr, dr, er, hr, lr;
input [15:0] ixr, iyr;
input [7:0] wb_dat_i;
input wb_ack, clk, rst;
input wb_int_rq;
input [15:0] add16; // ir2 execution engine output for sp updates
 
 
//-------1---------2---------3--------Parameters-----------6---------7---------8---------9--------0
`include "opcodes.v" // states of the main memory sequencer
 
parameter TAG_IO = 2'b01, // need to review general wb usage to undrstand how best to
TAG_INT = 2'b10; // document this.
// 12na
parameter IPIPE_NOP = 4'b0000,
IPIPE_A2 = 4'b0001,
IPIPE_ENN = 4'b0010,
IPIPE_ENNA2 = 4'b0011,
IPIPE_EN2 = 4'b0100,
IPIPE_EN2A2 = 4'b0101,
IPIPE_ENNEN2 = 4'b0110,
IPIPE_ENNEN2A2 = 4'b0111,
IPIPE_EN1 = 4'b1000,
IPIPE_EN1A2 = 4'b1001,
IPIPE_BOGUS = 4'b1010, // no reason (yet) to load both n and ir1
IPIPE_BOUS2 = 4'b1011,
IPIPE_EN12 = 4'b1100,
IPIPE_EN12A2 = 4'b1101,
IPIPE_BOGUS3 = 4'b1110,
IPIPE_BOGUS4 = 4'b1111;
// well at first cut I tried to make this 2 state macines both less than 16 states.
// this is 56 states at first cut. Assignemnt is subject to change.
 
// ------ mem state decoder state machine states --------------------------------
parameter DEC_IDLE = 6'h00,
DEC_HALT = 6'h01,
DEC_IF1 = 6'h02,
DEC_IF2 = 6'h03,
DEC_IF2A = 6'h04,
DEC_EXEC = 6'h05,
DEC_CB = 6'h06,
DEC_DDFD = 6'h07,
DEC_ED = 6'h08,
DEC_EDNN1 = 6'h09,
DEC_EDNN2 = 6'h0a,
DEC_EDRD1 = 6'h0b,
DEC_EDRD2 = 6'h0c,
DEC_EDWR = 6'h0d,
DEC_EDBCP1 = 6'h0e,
DEC_EDBCP2 = 6'h0f,
DEC_EDBCP3 = 6'h10,
DEC_EDBIN1 = 6'h11,
DEC_EDBIN2 = 6'h12,
DEC_EDBIN3 = 6'h13,
DEC_EDBOUT1 = 6'h14,
DEC_EDBOUT2 = 6'h15,
DEC_EDBOUT3 = 6'h16,
DEC_EDBMV1 = 6'h17,
DEC_EDBMV2 = 6'h18,
DEC_EDBMV3 = 6'h19,
DEC_N = 6'h1a,
DEC_NIN = 6'h1b,
DEC_NN = 6'h1c,
DEC_NNCALL1 = 6'h1d,
DEC_NNCALL2 = 6'h1e,
DEC_NNOS1 = 6'h1f,
DEC_NNOS2 = 6'h20,
DEC_NNOS3 = 6'h21,
DEC_NNOF1 = 6'h22,
DEC_NNOF2 = 6'h23,
DEC_NNOF3 = 6'h24,
DEC_NNOF4 = 6'h25,
DEC_DDOS = 6'h26,
DEC_DDOF = 6'h27,
DEC_OF = 6'h28,
DEC_POP = 6'h29,
DEC_PUSH = 6'h2a,
DEC_RMW = 6'h2b,
DEC_RMW2 = 6'h2c,
DEC_CBM = 6'h2d,
DEC_PFxCB = 6'h2e,
DEC_PFxCB2 = 6'h2f,
DEC_PFxCB3 = 6'h30,
DEC_PFxCB4 = 6'h31,
DEC_INT1 = 6'h32,
DEC_INT2 = 6'h33,
DEC_INT3 = 6'h34,
DEC_INT4 = 6'h35,
DEC_INT5 = 6'h36,
DEC_RET = 6'h37,
DEC_NNJMP = 6'h38,
DEC_RET2 = 6'h39 ;
// initial decode assignemnts. These assignemens are made to wires on an initial decode
// to help document next state transitions
parameter I1_CB = 4'h0,
I1_DDFD = 4'h1,
I1_ED = 4'h2,
I1_JMP = 4'h3,
I1_N = 4'h4,
I1_NN = 4'h5,
I1_OF = 4'h6,
I1_OS = 4'h7,
I1_POP = 4'h8,
I1_PUSH = 4'h9,
I1_RET = 4'ha,
I1_RMW = 4'hb,
I1_RST = 4'hc,
I1_R2R = 4'hd ;
 
 
// A note here on the choices of mnemonics..... in general, the target registers of
// memory ops are specified by an instruction register (ir1 for stores ir2 for loads).
// so Menomics in general are specifying the address source. However, there are exceptions.
//
parameter MEM_NOP = 5'h00,
MEM_IFPP1 = 5'h01,
MEM_OS1 = 5'h02, // only invoked on I1 OS multiple address sources and data sources
MEM_OF1 = 5'h03, // Address from HL unless LD A,(BC) or LD A,(DE) (used for rmw)
MEM_OFSP = 5'h04, // works for both POP and RET
MEM_OSSP = 5'h05, // if DEC_EXEC op from ir1 else msb nn (implies we store from lsb nn)
// used in CALL also.
MEM_OFIXpD = 5'h06, // used for prefix op fetches - all single bytes
MEM_OSIXpD = 5'h07, // data source is same as MEM_OS1
MEM_OSADR = 5'h08, // used (at lesat) for prefixed rmw -- perhaps others.
MEM_CALL = 5'h09, // pc<=nn, nn<=pc, wb_adr<=sp OS
MEM_OSNN = 5'h0a, // if DEC_EXEC op from ir1 else msb nn
MEM_OFNN = 5'h0b, // striaghtfoward
MEM_OFADRP1 = 5'h0c, // used (at least) when double ops above
MEM_OSADRP1 = 5'h0d, // "" "" ""
MEM_IFRST = 5'h0e, // special address transfer
MEM_IFREL_N = 5'h0f, // special address transfer for jmp rel
MEM_JMPHL = 5'h10, // another special jump transfer
MEM_IFNN = 5'h11, // used by call and return
MEM_OFHL_PM = 5'h12, // special block move ops
MEM_OSHL_PM = 5'h13, // special block move ops
MEM_OSDE_PM = 5'h14, // special block move ops
MEM_IOF_C = 5'h15, // special i/o ops
MEM_IOS_C = 5'h16, // operand is ar
MEM_IOF_N = 5'h17,
MEM_IOS_N = 5'h18,
MEM_OS_HL_N = 5'h19,
MEM_OSSP_PCM2 = 5'h1a, // int code (call
MEM_OSSP_P = 5'h1b, //
MEM_INTA = 5'h1c,
MEM_IFINT = 5'h1d,
MEM_DECPC = 5'h1e ;
 
 
 
 
 
 
 
 
//-------1---------2---------3--------Wires----------------6---------7---------8---------9--------0
 
 
wire use_sp;
wire use_pc;
wire use_hl;
wire use_de;
wire use_bc;
wire use_flags;
wire cb_mem;
wire br_test8t; // branch test true (8 test field)
wire br_test4t; // branch test true (4 test field)
wire ofos;
wire any_os; // most terms above only valid on mem_exec this includes all stores
wire wb_rdy_nhz;
wire dec_blk_inc;
wire we_next;
wire hazard;
wire wb_int;
wire [15:0] hl, de, bc;
wire mem_exec_dec;
 
wire use_a ;
wire use_b ;
wire use_c ;
wire use_d ;
wire use_e ;
wire use_h ;
wire use_l ;
// don't forget that as 1r1 is executed it is transferred to ir2. Anything I need to know
// about subsequent operations must be stored.
// 6 5 4 15
// assign {next_dec_state, next_mem_state, next_pipe_state} = next_state;
wire [5:0] next_dec_state;
wire [4:0] next_mem_state;
wire [3:0] next_pipe_state;
wire ed_dbl_rd;
//-------1---------2---------3--------Registers------------6---------7---------8---------9--------0
 
reg [15:0] pc;
reg [15:0] sp;
reg [15:0] wb_adr;
reg wb_we;
reg wb_cyc;
reg wb_stb;
reg wb_lock;
reg wb_tga_io;
 
reg blk_inc_flg;
reg [9:0] ir1, ir2;
reg ir1dd, ir2dd;
reg ir1fd, ir2fd;
reg [15:0] nn;
 
reg [15:0] next_state; // a wire assigned in an alowys loop.
 
reg [5:0] dec_state; // the register set each clock from next_dec_state;
 
reg of16_reg, os16_reg, rmw8_reg, call_reg, ret_reg, ioi;
reg push_reg;
reg pop_reg;
reg inst_haz;
reg exec_ir2;
reg blk_rpt_flg;
reg blk_io_flg;
reg flag_os1;
reg int_en, en_int_next;
reg wb_irq_sync;
//-------1---------2---------3--------Assignments----------6---------7---------8---------9--------0
//
// ir is 10 bits most significant codes ir1[9:8] = { EDgrp, CBgrp } DDgrp and FDgrp are modifiers
 
 
assign wb_dat_o = nn[15:8];
 
wire sf, zf, f5f, hf, f3f, pvf, nf, cf;
assign { sf, zf, f5f, hf, f3f, pvf, nf, cf} = fr;
 
 
assign hl = {hr, lr};
assign de = {dr, er};
assign bc = {br, cr};
 
 
// this "groups" the instructions to determine first memory operation
 
parameter I1DCNT = 4; // parameter used below simply to make possible change easier.
assign mem_exec_dec =
{I1DCNT {CBgrp == ir1}} & I1_CB |// CBgrp is rotates and bi
{I1DCNT {DDgrp == ir1}} & I1_DDFD|// DDgrp
{I1DCNT {FDgrp == ir1}} & I1_DDFD|// FDgrp FD
{I1DCNT {EDgrp == ir1}} & I1_ED |// EDgrp ED
{I1DCNT {JPsHL == ir1}} & I1_JMP |// JP HL ; E9 // doc
{I1DCNT {ADCsA_N == ir1}} & I1_N |// ADC A,N ; CE XX
{I1DCNT {ADDsA_N == ir1}} & I1_N |// ADD A,N ; C6 XX
{I1DCNT {ANDsN == ir1}} & I1_N |// AND N ; E6 XX
{I1DCNT {CPsN == ir1}} & I1_N |// CP N ; FE XX
{I1DCNT {INsA_6N7 == ir1}} & I1_N |// IN A,(N) ; DB XX
{I1DCNT {JRs$t2 == ir1}} & I1_N |// JR $+2 ; 18 XX
{I1DCNT {JRsC_$t2 == ir1}} & I1_N |// JR C,$+2 ; 38 XX
{I1DCNT {JRsNC_$t2 == ir1}} & I1_N |// JR NC,$+2 ; 30 XX
{I1DCNT {JRsZ_$t2 == ir1}} & I1_N |// JR Z,$+2 ; 28 XX
{I1DCNT {JRsNZ_$t2 == ir1}} & I1_N |// JR NZ,$+2 ; 20 XX
{I1DCNT {LDs6HL7_N == ir1}} & I1_N |// LD (HL),N ; 36 XX
{I1DCNT {LDsA_N == ir1}} & I1_N |// LD A,N ; 3E XX
{I1DCNT {LDsB_N == ir1}} & I1_N |// LD B,N ; 06 XX
{I1DCNT {LDsC_N == ir1}} & I1_N |// LD C,N ; 0E XX
{I1DCNT {LDsD_N == ir1}} & I1_N |// LD D,N ; 16 XX
{I1DCNT {LDsE_N == ir1}} & I1_N |// LD E,N ; 1E XX
{I1DCNT {LDsH_N == ir1}} & I1_N |// LD H,N ; 26 XX
{I1DCNT {LDsL_N == ir1}} & I1_N |// LD L,N ; 2E XX
{I1DCNT {ORsN == ir1}} & I1_N |// OR N ; F6 XX
{I1DCNT {OUTs6N7_A == ir1}} & I1_N |// OUT (N),A ; D3 XX
{I1DCNT {SBCsA_N == ir1}} & I1_N |// SBC A,N ; DE XX
{I1DCNT {SUBsN == ir1}} & I1_N |// SUB N ; D6 XX
{I1DCNT {XORsN == ir1}} & I1_N |// XOR N ; EE XX
{I1DCNT {CALLsC_NN == ir1}} & I1_NN |// CALL C,NN ; DC XX XX
{I1DCNT {CALLsNC_NN == ir1}} & I1_NN |// CALL NC,NN ; D4 XX XX
{I1DCNT {CALLsNN == ir1}} & I1_NN |// CALL NN ; CD XX XX
{I1DCNT {CALLsNZ_NN == ir1}} & I1_NN |// CALL NZ,NN ; C4 XX XX
{I1DCNT {CALLsPE_NN == ir1}} & I1_NN |// CALL PE,NN ; EC XX XX
{I1DCNT {CALLsPO_NN == ir1}} & I1_NN |// CALL PO,NN ; E4 XX XX
{I1DCNT {CALLsP_NN == ir1}} & I1_NN |// CALL P,NN ; F4 XX XX
{I1DCNT {CALLsZ_NN == ir1}} & I1_NN |// CALL Z,NN ; CC XX XX
{I1DCNT {CALLsM_NN == ir1}} & I1_NN |// CALL M,NN ; FC XX XX
{I1DCNT {JP == ir1}} & I1_NN |// JP ; C3 XX XX
{I1DCNT {JPsC == ir1}} & I1_NN |// JP C ; DA XX XX
{I1DCNT {JPsM == ir1}} & I1_NN |// JP M, ; FA XX XX
{I1DCNT {JPsNC == ir1}} & I1_NN |// JP NC, ; D2 XX XX
{I1DCNT {JPsNZ == ir1}} & I1_NN |// JP NZ ; C2 XX XX
{I1DCNT {JPsP == ir1}} & I1_NN |// JP P ; F2 XX XX
{I1DCNT {JPsPE == ir1}} & I1_NN |// JP PE, ; EA XX XX
{I1DCNT {JPsPO == ir1}} & I1_NN |// JP PO ; E2 XX XX
{I1DCNT {JPsZ == ir1}} & I1_NN |// JP Z ; CA XX XX
{I1DCNT {LDs6NN7_A == ir1}} & I1_NN |// LD (NN),A ; 32 XX XX
{I1DCNT {LDs6NN7_HL == ir1}} & I1_NN |// LD (NN),HL ; 22 XX XX
{I1DCNT {LDsA_6NN7 == ir1}} & I1_NN |// LD A,(NN) ; 3A XX XX
{I1DCNT {LDsBC_NN == ir1}} & I1_NN |// LD BC,NN ; 01 XX XX
{I1DCNT {LDsDE_NN == ir1}} & I1_NN |// LD DE,NN ; 11 XX XX
{I1DCNT {LDsHL_6NN7 == ir1}} & I1_NN |// LD HL,(NN) ; 2A XX XX
{I1DCNT {LDsHL_NN == ir1}} & I1_NN |// LD HL,NN ; 21 XX XX
{I1DCNT {LDsSP_NN == ir1}} & I1_NN |// LD SP,NN ; 31 XX XX
{I1DCNT {ADCsA_6HL7 == ir1}} & I1_OF |// ADC A,(HL) ; 8E
{I1DCNT {ADDsA_6HL7 == ir1}} & I1_OF |// ADD A,(HL) ; 86
{I1DCNT {ANDs6HL7 == ir1}} & I1_OF |// AND (HL) ; A6
{I1DCNT {CPs6HL7 == ir1}} & I1_OF |// CP (HL) ; BE
{I1DCNT {LDsA_6BC7 == ir1}} & I1_OF |// LD A,(BC) ; 0A
{I1DCNT {LDsA_6DE7 == ir1}} & I1_OF |// LD A,(DE) ; 1A
{I1DCNT {LDsA_6HL7 == ir1}} & I1_OF |// LD A,(HL) ; 7E
{I1DCNT {LDsB_6HL7 == ir1}} & I1_OF |// LD B,(HL) ; 46
{I1DCNT {LDsC_6HL7 == ir1}} & I1_OF |// LD C,(HL) ; 4E
{I1DCNT {LDsD_6HL7 == ir1}} & I1_OF |// LD D,(HL) ; 56
{I1DCNT {LDsE_6HL7 == ir1}} & I1_OF |// LD E,(HL) ; 5E
{I1DCNT {LDsH_6HL7 == ir1}} & I1_OF |// LD H,(HL) ; 66
{I1DCNT {LDsL_6HL7 == ir1}} & I1_OF |// LD L,(HL) ; 6E
{I1DCNT {ORs6HL7 == ir1}} & I1_OF |// OR (HL) ; B6
{I1DCNT {SBCs6HL7 == ir1}} & I1_OF |// SBC (HL) ; 9E
{I1DCNT {SUBs6HL7 == ir1}} & I1_OF |// SUB (HL) ; 96
{I1DCNT {XORs6HL7 == ir1}} & I1_OF |// XOR (HL) ; AE
{I1DCNT {LDs6BC7_A == ir1}} & I1_OS |// LD (BC),A ; 02
{I1DCNT {LDs6DE7_A == ir1}} & I1_OS |// LD (DE),A ; 12
{I1DCNT {LDs6HL7_A == ir1}} & I1_OS |// LD (HL),A ; 77
{I1DCNT {LDs6HL7_B == ir1}} & I1_OS |// LD (HL),B ; 70
{I1DCNT {LDs6HL7_C == ir1}} & I1_OS |// LD (HL),C ; 71
{I1DCNT {LDs6HL7_D == ir1}} & I1_OS |// LD (HL),D ; 72
{I1DCNT {LDs6HL7_E == ir1}} & I1_OS |// LD (HL),E ; 73
{I1DCNT {LDs6HL7_H == ir1}} & I1_OS |// LD (HL),H ; 74
{I1DCNT {LDs6HL7_L == ir1}} & I1_OS |// LD (HL),L ; 75
{I1DCNT {POPsAF == ir1}} & I1_POP |// POP AF ; F1
{I1DCNT {POPsBC == ir1}} & I1_POP |// POP BC ; C1
{I1DCNT {POPsDE == ir1}} & I1_POP |// POP DE ; D1
{I1DCNT {POPsHL == ir1}} & I1_POP |// POP HL ; E1
{I1DCNT {PUSHsAF == ir1}} & I1_PUSH|// PUSH AF ; F5
{I1DCNT {PUSHsBC == ir1}} & I1_PUSH|// PUSH BC ; C5
{I1DCNT {PUSHsDE == ir1}} & I1_PUSH|// PUSH DE ; D5
{I1DCNT {PUSHsHL == ir1}} & I1_PUSH|// PUSH HL ; E5
{I1DCNT {ADCsA_A == ir1}} & I1_R2R |// ADC A,A ; 8F
{I1DCNT {ADCsA_B == ir1}} & I1_R2R |// ADC A,B ; 88
{I1DCNT {ADCsA_C == ir1}} & I1_R2R |// ADC A,C ; 89
{I1DCNT {ADCsA_D == ir1}} & I1_R2R |// ADC A,D ; 8A
{I1DCNT {ADCsA_E == ir1}} & I1_R2R |// ADC A,E ; 8B
{I1DCNT {ADCsA_H == ir1}} & I1_R2R |// ADC A,H ; 8C
{I1DCNT {ADCsA_L == ir1}} & I1_R2R |// ADC A,L ; 8D
{I1DCNT {ADDsA_A == ir1}} & I1_R2R |// ADD A,A ; 87
{I1DCNT {ADDsA_B == ir1}} & I1_R2R |// ADD A,B ; 80
{I1DCNT {ADDsA_C == ir1}} & I1_R2R |// ADD A,C ; 81
{I1DCNT {ADDsA_D == ir1}} & I1_R2R |// ADD A,D ; 82
{I1DCNT {ADDsA_E == ir1}} & I1_R2R |// ADD A,E ; 83
{I1DCNT {ADDsA_H == ir1}} & I1_R2R |// ADD A,H ; 84
{I1DCNT {ADDsA_L == ir1}} & I1_R2R |// ADD A,L ; 85
{I1DCNT {ADDsHL_BC == ir1}} & I1_R2R |// ADD HL,BC ; 09
{I1DCNT {ADDsHL_DE == ir1}} & I1_R2R |// ADD HL,DE ; 19
{I1DCNT {ADDsHL_HL == ir1}} & I1_R2R |// ADD HL,HL ; 29
{I1DCNT {ADDsHL_SP == ir1}} & I1_R2R |// ADD HL,SP ; 39
{I1DCNT {ANDsA == ir1}} & I1_R2R |// AND A ; A7
{I1DCNT {ANDsB == ir1}} & I1_R2R |// AND B ; A0
{I1DCNT {ANDsC == ir1}} & I1_R2R |// AND C ; A1
{I1DCNT {ANDsD == ir1}} & I1_R2R |// AND D ; A2
{I1DCNT {ANDsE == ir1}} & I1_R2R |// AND E ; A3
{I1DCNT {ANDsH == ir1}} & I1_R2R |// AND H ; A4
{I1DCNT {ANDsL == ir1}} & I1_R2R |// AND L ; A5
{I1DCNT {CCF == ir1}} & I1_R2R |// CCF ; 3F
{I1DCNT {CPL == ir1}} & I1_R2R |// CPL ; 2F
{I1DCNT {CPsA == ir1}} & I1_R2R |// CP A ; BF
{I1DCNT {CPsB == ir1}} & I1_R2R |// CP B ; B8
{I1DCNT {CPsC == ir1}} & I1_R2R |// CP C ; B9
{I1DCNT {CPsD == ir1}} & I1_R2R |// CP D ; BA
{I1DCNT {CPsE == ir1}} & I1_R2R |// CP E ; BB
{I1DCNT {CPsH == ir1}} & I1_R2R |// CP H ; BC
{I1DCNT {CPsL == ir1}} & I1_R2R |// CP L ; BD
{I1DCNT {DAA == ir1}} & I1_R2R |// DAA ; 27
{I1DCNT {DECsA == ir1}} & I1_R2R |// DEC A ; 3D
{I1DCNT {DECsB == ir1}} & I1_R2R |// DEC B ; 05
{I1DCNT {DECsBC == ir1}} & I1_R2R |// DEC BC ; 0B
{I1DCNT {DECsC == ir1}} & I1_R2R |// DEC C ; 0D
{I1DCNT {DECsD == ir1}} & I1_R2R |// DEC D ; 15
{I1DCNT {DECsDE == ir1}} & I1_R2R |// DEC DE ; 1B
{I1DCNT {DECsE == ir1}} & I1_R2R |// DEC E ; 1D
{I1DCNT {DECsH == ir1}} & I1_R2R |// DEC H ; 25
{I1DCNT {DECsHL == ir1}} & I1_R2R |// DEC HL ; 2B
{I1DCNT {DECsL == ir1}} & I1_R2R |// DEC L ; 2D
{I1DCNT {DECsSP == ir1}} & I1_R2R |// DEC SP ; 3B
{I1DCNT {DI == ir1}} & I1_R2R |// DI ; F3
{I1DCNT {DJNZs$t2 == ir1}} & I1_R2R |// DJNZ $+2 ; 10 XX
{I1DCNT {EI == ir1}} & I1_R2R |// EI ; FB
{I1DCNT {EXX == ir1}} & I1_R2R |// EXX ; D9
{I1DCNT {EXsAF_AFp == ir1}} & I1_R2R |// EX AF,AF' ; 08
{I1DCNT {EXsDE_HL == ir1}} & I1_R2R |// EX DE,HL ; EB
{I1DCNT {HALT == ir1}} & I1_R2R |// HALT ; 76
{I1DCNT {INCsA == ir1}} & I1_R2R |// INC A ; 3C
{I1DCNT {INCsB == ir1}} & I1_R2R |// INC B ; 04
{I1DCNT {INCsBC == ir1}} & I1_R2R |// INC BC ; 03
{I1DCNT {INCsC == ir1}} & I1_R2R |// INC C ; 0C
{I1DCNT {INCsD == ir1}} & I1_R2R |// INC D ; 14
{I1DCNT {INCsDE == ir1}} & I1_R2R |// INC DE ; 13
{I1DCNT {INCsE == ir1}} & I1_R2R |// INC E ; 1C
{I1DCNT {INCsH == ir1}} & I1_R2R |// INC H ; 24
{I1DCNT {INCsHL == ir1}} & I1_R2R |// INC HL ; 23
{I1DCNT {INCsL == ir1}} & I1_R2R |// INC L ; 2C
{I1DCNT {INCsSP == ir1}} & I1_R2R |// INC SP ; 33
{I1DCNT {LDsA_A == ir1}} & I1_R2R |// LD A,A ; 7F
{I1DCNT {LDsA_B == ir1}} & I1_R2R |// LD A,B ; 78
{I1DCNT {LDsA_C == ir1}} & I1_R2R |// LD A,C ; 79
{I1DCNT {LDsA_D == ir1}} & I1_R2R |// LD A,D ; 7A
{I1DCNT {LDsA_E == ir1}} & I1_R2R |// LD A,E ; 7B
{I1DCNT {LDsA_H == ir1}} & I1_R2R |// LD A,H ; 7C
{I1DCNT {LDsA_L == ir1}} & I1_R2R |// LD A,L ; 7D
{I1DCNT {LDsB_A == ir1}} & I1_R2R |// LD B,A ; 47
{I1DCNT {LDsB_B == ir1}} & I1_R2R |// LD B,B ; 40
{I1DCNT {LDsB_C == ir1}} & I1_R2R |// LD B,C ; 41
{I1DCNT {LDsB_D == ir1}} & I1_R2R |// LD B,D ; 42
{I1DCNT {LDsB_E == ir1}} & I1_R2R |// LD B,E ; 43
{I1DCNT {LDsB_H == ir1}} & I1_R2R |// LD B,H ; 44
{I1DCNT {LDsB_L == ir1}} & I1_R2R |// LD B,L ; 45
{I1DCNT {LDsC_A == ir1}} & I1_R2R |// LD C,A ; 4F
{I1DCNT {LDsC_B == ir1}} & I1_R2R |// LD C,B ; 48
{I1DCNT {LDsC_C == ir1}} & I1_R2R |// LD C,C ; 49
{I1DCNT {LDsC_D == ir1}} & I1_R2R |// LD C,D ; 4A
{I1DCNT {LDsC_E == ir1}} & I1_R2R |// LD C,E ; 4B
{I1DCNT {LDsC_H == ir1}} & I1_R2R |// LD C,H ; 4C
{I1DCNT {LDsC_L == ir1}} & I1_R2R |// LD C,L ; 4D
{I1DCNT {LDsD_A == ir1}} & I1_R2R |// LD D,A ; 57
{I1DCNT {LDsD_B == ir1}} & I1_R2R |// LD D,B ; 50
{I1DCNT {LDsD_C == ir1}} & I1_R2R |// LD D,C ; 51
{I1DCNT {LDsD_D == ir1}} & I1_R2R |// LD D,D ; 52
{I1DCNT {LDsD_E == ir1}} & I1_R2R |// LD D,E ; 53
{I1DCNT {LDsD_H == ir1}} & I1_R2R |// LD D,H ; 54
{I1DCNT {LDsD_L == ir1}} & I1_R2R |// LD D,L ; 55
{I1DCNT {LDsE_A == ir1}} & I1_R2R |// LD E,A ; 5F
{I1DCNT {LDsE_B == ir1}} & I1_R2R |// LD E,B ; 58
{I1DCNT {LDsE_C == ir1}} & I1_R2R |// LD E,C ; 59
{I1DCNT {LDsE_D == ir1}} & I1_R2R |// LD E,D ; 5A
{I1DCNT {LDsE_E == ir1}} & I1_R2R |// LD E,E ; 5B
{I1DCNT {LDsE_H == ir1}} & I1_R2R |// LD E,H ; 5C
{I1DCNT {LDsE_L == ir1}} & I1_R2R |// LD E,L ; 5D
{I1DCNT {LDsH_A == ir1}} & I1_R2R |// LD H,A ; 67
{I1DCNT {LDsH_B == ir1}} & I1_R2R |// LD H,B ; 60
{I1DCNT {LDsH_C == ir1}} & I1_R2R |// LD H,C ; 61
{I1DCNT {LDsH_D == ir1}} & I1_R2R |// LD H,D ; 62
{I1DCNT {LDsH_E == ir1}} & I1_R2R |// LD H,E ; 63
{I1DCNT {LDsH_H == ir1}} & I1_R2R |// LD H,H ; 64
{I1DCNT {LDsH_L == ir1}} & I1_R2R |// LD H,L ; 65
{I1DCNT {LDsL_A == ir1}} & I1_R2R |// LD L,A ; 6F
{I1DCNT {LDsL_B == ir1}} & I1_R2R |// LD L,B ; 68
{I1DCNT {LDsL_C == ir1}} & I1_R2R |// LD L,C ; 69
{I1DCNT {LDsL_D == ir1}} & I1_R2R |// LD L,D ; 6A
{I1DCNT {LDsL_E == ir1}} & I1_R2R |// LD L,E ; 6B
{I1DCNT {LDsL_H == ir1}} & I1_R2R |// LD L,H ; 6C
{I1DCNT {LDsL_L == ir1}} & I1_R2R |// LD L,L ; 6D
{I1DCNT {LDsSP_HL == ir1}} & I1_R2R |// LD SP,HL ; F9
{I1DCNT {NOP == ir1}} & I1_R2R |// NOP ; 00
{I1DCNT {ORsA == ir1}} & I1_R2R |// OR A ; B7
{I1DCNT {ORsB == ir1}} & I1_R2R |// OR B ; B0
{I1DCNT {ORsC == ir1}} & I1_R2R |// OR C ; B1
{I1DCNT {ORsD == ir1}} & I1_R2R |// OR D ; B2
{I1DCNT {ORsE == ir1}} & I1_R2R |// OR E ; B3
{I1DCNT {ORsH == ir1}} & I1_R2R |// OR H ; B4
{I1DCNT {ORsL == ir1}} & I1_R2R |// OR L ; B5
{I1DCNT {RLA == ir1}} & I1_R2R |// RLA ; 17
{I1DCNT {RLCA == ir1}} & I1_R2R |// RLCA ; 07
{I1DCNT {RRA == ir1}} & I1_R2R |// RRA ; 1F
{I1DCNT {RRCA == ir1}} & I1_R2R |// RRCA ; 0F
{I1DCNT {SBCsA == ir1}} & I1_R2R |// SBC A ; 9F
{I1DCNT {SBCsB == ir1}} & I1_R2R |// SBC B ; 98
{I1DCNT {SBCsC == ir1}} & I1_R2R |// SBC C ; 99
{I1DCNT {SBCsD == ir1}} & I1_R2R |// SBC D ; 9A
{I1DCNT {SBCsE == ir1}} & I1_R2R |// SBC E ; 9B
{I1DCNT {SBCsH == ir1}} & I1_R2R |// SBC H ; 9C
{I1DCNT {SBCsL == ir1}} & I1_R2R |// SBC L ; 9D
{I1DCNT {SCF == ir1}} & I1_R2R |// SCF ; 37
{I1DCNT {SUBsA == ir1}} & I1_R2R |// SUB A ; 97
{I1DCNT {SUBsB == ir1}} & I1_R2R |// SUB B ; 90
{I1DCNT {SUBsC == ir1}} & I1_R2R |// SUB C ; 91
{I1DCNT {SUBsD == ir1}} & I1_R2R |// SUB D ; 92
{I1DCNT {SUBsE == ir1}} & I1_R2R |// SUB E ; 93
{I1DCNT {SUBsH == ir1}} & I1_R2R |// SUB H ; 94
{I1DCNT {SUBsL == ir1}} & I1_R2R |// SUB L ; 95
{I1DCNT {XORsA == ir1}} & I1_R2R |// XOR A ; AF
{I1DCNT {XORsB == ir1}} & I1_R2R |// XOR B ; A8
{I1DCNT {XORsC == ir1}} & I1_R2R |// XOR C ; A9
{I1DCNT {XORsD == ir1}} & I1_R2R |// XOR D ; AA
{I1DCNT {XORsE == ir1}} & I1_R2R |// XOR E ; AB
{I1DCNT {XORsH == ir1}} & I1_R2R |// XOR H ; AC
{I1DCNT {XORsL == ir1}} & I1_R2R |// XOR L ; AD
{I1DCNT {RET == ir1}} & I1_RET |// RET ; C9
{I1DCNT {RETsC == ir1 & cf }} & I1_RET |// RET C ; D8
{I1DCNT {RETsM == ir1 & sf }} & I1_RET |// RET M ; F8
{I1DCNT {RETsNC== ir1 & ~cf }} & I1_RET |// RET NC ; D0
{I1DCNT {RETsP == ir1 & ~sf }} & I1_RET |// RET P ; F0
{I1DCNT {RETsPE== ir1 & pvf }} & I1_RET |// RET PE ; E8
{I1DCNT {RETsPO== ir1 & ~pvf}} & I1_RET |// RET PO ; E0
{I1DCNT {RETsNZ== ir1 & ~zf }} & I1_RET |// RET NZ ; C0
{I1DCNT {RETsZ == ir1 & zf }} & I1_RET |// RET Z ; C8
{I1DCNT {EXs6SP7_HL == ir1}} & I1_RMW |// EX (SP),HL ; E3
{I1DCNT {DECs6HL7 == ir1}} & I1_RMW |// DEC (HL) ; 35
{I1DCNT {INCs6HL7 == ir1}} & I1_RMW |// INC (HL) ; 34
{I1DCNT {RSTs0 == ir1}} & I1_RST |// RST 0 ; C7
{I1DCNT {RSTs10H == ir1}} & I1_RST |// RST 10H ; D7
{I1DCNT {RSTs18H == ir1}} & I1_RST |// RST 18H ; DF
{I1DCNT {RSTs20H == ir1}} & I1_RST |// RST 20H ; E7
{I1DCNT {RSTs28H == ir1}} & I1_RST |// RST 28H ; EF
{I1DCNT {RSTs30H == ir1}} & I1_RST |// RST 30H ; F7
{I1DCNT {RSTs38H == ir1}} & I1_RST |// RST 38H ; FF
{I1DCNT {RSTs8H == ir1}} & I1_RST ;// RST 8H ; CF
//-------- CB decodes -----------------------
 
// First cut below
// CB_RLC = 7'b01_00_000, // these must be compaired with ir[9:3]
// CB_RRC = 7'b01_00_001, // these must be compaired with ir[9:3]
// CB_RL = 7'b01_00_010, // these must be compaired with ir[9:3]
// CB_RR = 7'b01_00_011, // these must be compaired with ir[9:3]
// CB_SLA = 7'b01_00_100, // these must be compaired with ir[9:3]
// CB_SRA = 7'b01_00_101, // these must be compaired with ir[9:3]
// CB_SLL = 7'b01_00_110, // these must be compaired with ir[9:3]
// CB_SRL = 7'b01_00_111, // these must be compaired with ir[9:3]
// CB_BIT = 4'b01_01, // these must be compaired with ir[9:6]
// CB_RES = 4'b01_10, // these must be compaired with ir[9:6]
// CB_SET = 4'b01_11, // these must be compaired with ir[9:6]
 
// note these are all read-modify-writ except CB_BIT
assign cb_mem = (CB_MEM == ir1[2:0]); // this must be compaired with ir[2:0]
 
// The ED Group
// These are the "unique instructions in the 46, 47 rows that NEED? to be implemented
// Not sure I want to worry about all undocumented stuff in these rows - hard to believe
// It will matter.(IM modes are very system dependent - hard to believe even a programmer
// would use undocumented instructions to muck with this stuff)
// reg 2 reg simply executed by ir2 logic
// ED_IMs0 = 10'h246// IM 0 ; ED 46 set IM0
// ED_LDsI_A = 10'h247// LD I,A ; ED 47 move a to I
// ED_IMs1 = 10'h256// IM 1 ; ED 56 set IM1
// ED_LDsA_I = 10'h257// LD A,I ; ED 57 move I to A
// ED_IMs2 = 10'h25E// IM 2 ; ED 5E set IM2
// ED_RRD = 10'h267// RRD ; ED 67 nibble roates A HL
// ED_RLD = 10'h26F// RLD ; ED 6F nibble roates A HL
// set (or clear) repeat flag at DEC_EB.
// set (or clear) inc flag at DEC_EB.
// seperate flows for LD, CP, IN, OUT.
// ED_LDI == ir1// LDI ; ED A0 These are block move
// ED_CPI == ir1// CPI ; ED A1 type insts that don't repeat
// ED_INI == ir1// INI ; ED A2
// ED_OUTI == ir1// OUTI ; ED A3
// ED_LDD == ir1// LDD ; ED A8
// ED_CPD == ir1// CPD ; ED A9
// ED_IND == ir1// IND ; ED AA
// ED_OUTD == ir1// OUTD ; ED AB
wire dec_blk_rpt =
ED_LDIR == ir1 |// LDIR ; ED B0 These are block move
ED_CPIR == ir1 |// CPIR ; ED B1 type insts that DO repeat
ED_INIR == ir1 |// INIR ; ED B2
ED_OTIR == ir1 |// OTIR ; ED B3
ED_LDDR == ir1 |// LDDR ; ED B8
ED_CPDR == ir1 |// CPDR ; ED B9
ED_INDR == ir1 |// INDR ; ED BA
ED_OTDR == ir1 ;// OTDR ; ED BB
wire ed_blk_mv = ED_LDIR == ir1 | ED_LDI == ir1 |
ED_LDDR == ir1 | ED_LDD == ir1 ;
wire ed_blk_cp = ED_CPIR == ir1 | ED_CPI == ir1 |
ED_CPDR == ir1 | ED_CPD == ir1 ;
wire ed_blk_in = ED_INIR == ir1 | ED_INI == ir1 |
ED_INDR == ir1 | ED_IND == ir1 ;
 
wire ed_blk_out = ED_OTIR == ir1 | ED_OUTI == ir1 |
ED_OTDR == ir1 | ED_OUTD == ir1 ;
 
wire dec_blk_io = ed_blk_in | ed_blk_in;
 
wire blk_done = ~blk_rpt_flg | beq0 & ceq0 | blk_io_flg & ceq0;
 
assign dec_blk_inc = ED_LDIR == ir1 |
ED_CPIR == ir1 |
ED_INIR == ir1 |
ED_OTIR == ir1 |
ED_LDI == ir1 |
ED_CPI == ir1 |
ED_INI == ir1 |
ED_OUTI == ir1 ;
 
 
//The ED70 instruction reads from I/O port C,
//but does not store the result.
//It just affects the flags. Hard to test. like the other IN x,(C) instruction.
//
//ED71 simply outs the value 0 to I/O port C.
// This suggests that we should decode as follows:
// I hope if I don't get all the IM duplicates right it won't be a tragedy
// ED_INsREG_6C7 = 7'b1001___000,// compair with {ir[7:6],ir[2:0]}
//
// ED_SBCsHL_REG = 8'b1001__0010, // compair with {ir[9:6],ir[3:0]}
// ED_ADCsHL_REG = 8'b1001__1010, // compair with {ir[9:6],ir[3:0]}
// ED_LDs6NN7_REG = 8'b1001__0011, // compair with {ir[9:6],ir[3:0]} REG = BC,DE,HL,SP
// ED_LDsREG_6NN7 = 8'b1001__1011, // compair with {ir[9:6],ir[3:0]} REG = BC,DE,HL,SP
// ED_NEG = 7'b1001___100, // compair with {ir[9:6],ir[2:0]} all A<= -A
// ED_RETN = 7'b1001___101, // compair with {ir[9:6],ir[2:0]} and !reti
wire ed_nn = ED_LDs6NN7_REG == {ir1[9:6],ir1[3:0]} |
ED_LDsREG_6NN7 == {ir1[9:6],ir1[3:0]} ;
 
// we use all these to enable interrupts
wire ed_retn = ED_RETN == {ir1[9:6],ir1[2:0]};
 
assign ed_dbl_rd = ED_LDsREG_6NN7 == {ir1[9:6],ir1[3:0]};
 
// assign cb_mem = CB_MEM = ir1[2:0]; // CB_MEM = 3'h110,
 
 
 
wire jmpr_true =
JRs$t2 == ir1 |
JRsC_$t2 == ir1 & fr[0] |
JRsNC_$t2 == ir1 & ~fr[0] |
JRsZ_$t2 == ir1 & fr[6] |
JRsNZ_$t2 == ir1 & ~fr[6] ;
//assign { sf, zf. f5f, hf, f3f, pvf, nf, cf} = fr;
wire callnn_true = CALLsC_NN == ir1 & cf |
CALLsNC_NN == ir1 & ~cf |
CALLsNN == ir1 |
CALLsNZ_NN == ir1 & ~zf |
CALLsPE_NN == ir1 & pvf |
CALLsPO_NN == ir1 & ~pvf|
CALLsP_NN == ir1 & ~sf |
CALLsZ_NN == ir1 & zf |
CALLsM_NN == ir1 & sf ;
 
wire jmpnn_true = JPsC == ir1 & cf |
JPsNC == ir1 & ~cf |
JP == ir1 |
JPsNZ == ir1 & ~zf |
JPsPE == ir1 & pvf |
JPsPO == ir1 & ~pvf|
JPsP == ir1 & ~sf |
JPsZ == ir1 & zf |
JPsM == ir1 & sf ;
 
// PUSHsAF == ir1
// PUSHsBC == ir1
// PUSHsDE == ir1
// PUSHsHL == ir1
 
wire os_a = LDs6BC7_A == ir1 | // LD (BC),A ; 02
LDs6DE7_A == ir1 | // LD (DE),A ; 12
LDs6HL7_A == ir1 | // LD (HL),A ; 77
LDs6NN7_A == ir1 | // LD (NN),A ; 32 XX XX
PUSHsAF == ir1 |
OUTs6N7_A == ir1 |
ED_OUTs6C7_REG == {ir1[9:6],ir1[2:0] && REG8_A == ir1[5:3]} ;
 
wire os_b = LDs6HL7_B == ir1 | // LD (HL),B ; 70
ED_LDs6NN7_REG == {ir1[9:6],ir1[3:0]} & DBL_REG_BC == ir1[5:4] |
ED_OUTs6C7_REG == {ir1[9:6],ir1[2:0]} & REG8_B == ir1[5:3] ;
wire os_c = LDs6HL7_C == ir1 | // LD (HL),C ; 71
PUSHsBC == ir1 | // PUSH BC
ED_OUTs6C7_REG == {ir1[9:6],ir1[2:0]} & REG8_C == ir1[5:3] ;
wire os_d = LDs6HL7_D == ir1 | // LD (HL),D ; 72
ED_LDs6NN7_REG == {ir1[9:6],ir1[3:0]} & DBL_REG_DE == ir1[5:4] |
ED_OUTs6C7_REG == {ir1[9:6],ir1[2:0]} & REG8_D == ir1[5:3] ;
wire os_e = LDs6HL7_E == ir1 | // LD (HL),E ; 73
PUSHsDE == ir1 | // PUSH DE
ED_OUTs6C7_REG == {ir1[9:6],ir1[2:0]} & REG8_E == ir1[5:3] ;
wire os_h = LDs6HL7_H == ir1 | // LD (HL),H ; 74
LDs6NN7_HL == ir1 | // LD (NN),HL ; 22 XX XX
ED_LDs6NN7_REG == {ir1[9:6],ir1[3:0]} & DBL_REG_HL == ir1[5:4] |
ED_OUTs6C7_REG == {ir1[9:6],ir1[2:0]} & REG8_H == ir1[5:3] ;
 
wire os_l = LDs6HL7_L == ir1 | // LD (HL),L ; 75
PUSHsHL == ir1 |
ED_OUTs6C7_REG == {ir1[9:6],ir1[2:0]} & REG8_L == ir1[5:3] ;
 
wire os_sp = ED_LDs6NN7_REG == {ir1[9:6],ir1[3:0]} & DBL_REG_SP == ir1[5:4];
 
wire os_f = PUSHsAF == ir1 ;
 
 
//---------------- inst hazard ----------------------------------------------------------
//
// On some reflection, I don't think I'm going to worry about this immediately - it
// should be easy to kludge in a fix if necessary -- and there are more important things
// todo. It is a very bad programming practice to muck with the instruction stream in any
// case -- I have to believe most target applications do not do this -- although I'll probably
// get hit pretty early with a instruction test that does. Oh well -- if that happens we fix
// it.
// Well -- think some here -- the hazard is because of a change in design.
// If used to any extent.. Somebody WILL
// want this to act the same way as the origional - even if the programming is "poor".
// >>>>>>>> bite the bullet and do it.
//
// if we do an operand store and the address == pc-1 its an inst hazard, We need to execute the
// store decrement pc and re-fetch. This is a high priority interrupt.
// what about multi-byte stores - like LDs6NN7_A or LDs6NN7_HL - i guess we do an IF - to start
// the pipe before the os -- same logic.
//
 
//-----------------data hazard ----------------------------------------------------------
//
// Issues here have evolved to a degree as the design progressed. However the
// Key has always been that for each instruction (no matter how complex) there
// is only a single state in which the previous instruction can also be active
// and that is the DEC_EXEC state. If there is a data hazard, we need to delay
// execution of that state until the ir2 execution completes (which it always does
// in a single tick). Note that only the RET instructions test the flag register
// on DEC_EXEC.
//
// WARNING: be very careful about this. Data hazard logic is very difficult to
// verify as there are so many instruction pairs to test.
//
// Situations 1) operand stores from ir1 when register is updated in ir2
// 2) flag tests when fr is being updated
// 3) sp issues see below LDsSP_HL DECsSP INCsSP
// ANY OTHERS ???
//
// upd_ar, upd_br, upd_cr, upd_dr, upd_er, upd_hr, upd_lr,upd_fr,
wire use_hl_exec = LDsSP_HL == ir1;
wire use_sp_exec = MEM_OFSP == next_mem_state |
MEM_OSSP == next_mem_state ;
wire upd_sp_exec = DECsSP == ir2 |
INCsSP == ir2 ;
 
 
 
wire use_fr_exec = ( RETsC == ir1 |
RETsM == ir1 |
RETsNC == ir1 |
RETsP == ir1 |
RETsPE == ir1 |
RETsPO == ir1 |
RETsNZ == ir1 |
RETsZ == ir1 ) ;
 
assign hazard = (dec_state == DEC_EXEC & exec_ir2 ) & ( upd_fr & use_fr_exec |
upd_ar & os_a |
upd_br & os_b |
upd_cr & os_c |
upd_dr & os_d |
upd_er & os_e |
upd_hr & os_h |
upd_lr & os_l |
upd_hr & use_hl_exec |
upd_lr & use_hl_exec |
upd_sp_exec & use_sp_exec );
 
 
 
 
 
 
// does not include extension stuff as we are mostly looking for hazards here
// course we do use these terms to build more decodes
//
wire opadr_bc = LDsA_6BC7 == ir1 | LDs6BC7_A == ir1;
wire opadr_de = LDsA_6DE7 == ir1 | LDs6DE7_A == ir1;
wire opadr_hl = LDsB_6HL7 == ir1 | ORs6HL7 == ir1 | LDs6HL7_B == ir1 |
LDsD_6HL7 == ir1 | LDsC_6HL7 == ir1 | LDs6HL7_C == ir1 |
LDsH_6HL7 == ir1 | LDsE_6HL7 == ir1 | LDs6HL7_D == ir1 |
ADDsA_6HL7 == ir1 | LDsL_6HL7 == ir1 | LDs6HL7_E == ir1 |
SUBs6HL7 == ir1 | LDsA_6HL7 == ir1 | LDs6HL7_H == ir1 |
ANDs6HL7 == ir1 | ADCsA_6HL7 == ir1 | LDs6HL7_L == ir1 |
XORs6HL7 == ir1 | SBCs6HL7 == ir1 | CPs6HL7 == ir1 ;
assign use_a = os_a;
assign use_b = os_b | opadr_bc;
assign use_c = os_c | opadr_bc;
assign use_d = os_d | opadr_de;
assign use_e = os_e | opadr_de;
assign use_h = os_h | opadr_hl;
assign use_l = os_l | opadr_hl;
 
 
 
assign use_flags = c_jmp8 | c_jmp4 | c_call | c_ret;
 
 
 
wire bc_eq0 = beq0 & ceq0;
// ??? not used ? why defined ?
//assign rpt_blk_mv = (blk_mv_reg ) & !bc_eq0 |
// (blk_cmp_reg) & !bc_eq0 & (nn[7:0] != 8'h0) |
// (blk_in_reg | blk_out_reg) & !b_eq0 ;
 
// BASIC ARCHITECTURE OF THIS FILE pc and sp not shown, but are inputs to src mux.
// _____ and may be updated from adder output.
// | |
// | | pc-1 register is required to implement relative jumps.
// | |
// _____ |lit | |\
// | | | | | \
// | | |src2 | | \ _____ _____
// | | | |----->| | | | | |
// |src | |_____| |adder|------->| | | |
// |mux | | | | | | |
// | |------------------->| / |2/1 |------->|wb |
// | | | | / |mux | |adr |
// |_____| | |/ | | | |
// ------------------->| | | |
// |_____| |_____|
// MEM_NOP
// MEM_IFPP1 MEM_OFIXpD MEM_CALL MEM_IFRST MEM_OFHL_PM MEM_IOF_C
// MEM_OS1, MEM_OSIXpD MEM_OSNN, MEM_IFREL_N MEM_OSHL_PM MEM_IOS_C
// MEM_OF1, MEM_OSADR MEM_OFNN MEM_JMPHL MEM_OSDE_PM MEM_IOF_N
// MEM_OFSP MEM_OSSP_PCM2 MEM_OFADRP1 MEM_IFNN MEM_INTA MEM_IOS_N
// MEM_OSSP MEM_OSSP_P MEM_OSADRP1 MEM_IFINT MEM_OS_HL_N
//
 
wire src_sp = next_mem_state == MEM_OF1 & EXs6SP7_HL == ir1 | //special case rmw
next_mem_state == MEM_OFSP |
next_mem_state == MEM_OSSP |
next_mem_state == MEM_CALL ;
wire src_pc = next_mem_state == MEM_IFPP1 |
next_mem_state == MEM_IFREL_N ;
 
wire src_nn = next_mem_state == MEM_IFNN |
next_mem_state == MEM_OSNN |
next_mem_state == MEM_OFNN ;
 
wire src_de = dec_state == DEC_EXEC & LDsA_6DE7 == ir1 | // MEM_OS1 MEM_OF1
dec_state == DEC_EXEC & LDs6DE7_A == ir1 | // are both true at this time
next_mem_state == MEM_OSDE_PM ;
wire src_bc = dec_state == DEC_EXEC & LDsA_6BC7 == ir1 |
dec_state == DEC_EXEC & LDs6BC7_A == ir1 |
next_mem_state ==MEM_IOF_C |
next_mem_state ==MEM_IOS_C ;
 
 
// don't forget that hl source can be modified by prefix
// this gets messy as we use wb_adr for some of these.
//
wire src_hl = next_mem_state == MEM_OF1 &
(dec_state == DEC_EXEC) &
!src_de & !src_bc & !src_sp |
next_mem_state == MEM_OS1 &
(dec_state == DEC_EXEC) &
!src_de & !src_bc |
next_mem_state == MEM_OFHL_PM |
next_mem_state == MEM_OSHL_PM |
next_mem_state == MEM_OS_HL_N |
next_mem_state == MEM_JMPHL ;
wire src_ix = next_mem_state == MEM_OFIXpD & ir1dd |
next_mem_state == MEM_OSIXpD & ir1dd ;
 
wire src_iy = next_mem_state == MEM_OFIXpD & ir1fd |
next_mem_state == MEM_OSIXpD & ir1fd ;
wire src_adr = next_mem_state == MEM_OFADRP1 |
next_mem_state == MEM_OSADRP1 |
next_mem_state == MEM_NOP |
next_mem_state == MEM_OSADR ;
 
wire src_int = next_mem_state == MEM_IOF_N |
next_mem_state == MEM_IOS_N ;
 
wire src_mux = {16{ src_sp }} & sp |
{16{ src_pc }} & pc |
{16{ src_nn }} & nn |
{16{ src_hl }} & hl |
{16{ src_de }} & de |
{16{ src_bc }} & bc |
{16{ src_ix }} & ixr |
{16{ src_iy }} & iyr |
{16{ src_adr }} & wb_adr |
{16{ src_int }} & { intr, nn[15:8] } |
{16{next_mem_state == MEM_IFRST}} & {10'h0, ir1[6:4], 3'h0} ;
wire block_mv_inc = (dec_state == DEC_ED) ? dec_blk_inc : blk_inc_flg; // flag set at DEC_ED
 
 
 
wire inc_s2 = next_mem_state ==MEM_OFADRP1 |
next_mem_state ==MEM_OSADRP1 |
next_mem_state ==MEM_OFHL_PM & block_mv_inc |
next_mem_state ==MEM_OSHL_PM & block_mv_inc |
next_mem_state ==MEM_OSDE_PM & block_mv_inc |
next_mem_state ==MEM_OFSP |
next_mem_state ==MEM_IFPP1 |
next_mem_state ==MEM_OSSP_PCM2 |
next_mem_state ==MEM_OSSP_P ;
 
wire dec_s2 = next_mem_state ==MEM_OFHL_PM & ~block_mv_inc |
next_mem_state ==MEM_OSHL_PM & ~block_mv_inc |
next_mem_state ==MEM_OSDE_PM & ~block_mv_inc |
next_mem_state == MEM_OFSP ;
 
wire reln_s2 = next_mem_state == MEM_IFREL_N |
next_mem_state == MEM_OFIXpD |
next_mem_state == MEM_OSIXpD ;
 
wire src2 = {16{ inc }} & 16'h0001 |
{16{ dec }} & 16'hffff |
{16{ rel }} & {{8{nn[15]}},nn[15:8]}|
{16{~(rel_jmp|inc|dec)}} & 16'h0 ;
 
wire adr_alu = src2 + src_mux;
 
wire pre_inc_dec = next_mem_state == MEM_CALL |
next_mem_state == MEM_OSSP_P |
next_mem_state == MEM_OSSP ;
 
 
wire mux21 = pre_inc_dec ? alu : src_mux;
 
assign wb_rdy_nhz = (!wb_cyc | wb_ack ) & ~hazard; // wishbone ready with no hazard
wire wb_rdy = !wb_cyc | wb_ack;
 
assign we_next = next_mem_state == MEM_OS1 |
next_mem_state == MEM_OSP |
next_mem_state == MEM_OSIXpD |
next_mem_state == MEM_OSADR |
next_mem_state == MEM_OSSP_PCM2 |
next_mem_state == MEM_OSSP_P |
next_mem_state == MEM_CALL |
next_mem_state == MEM_OSNN |
next_mem_state == MEM_OSADRP1 |
next_mem_state == MEM_OSHL_PM |
next_mem_state == MEM_OSDE_PM |
next_mem_state == MEM_OS_HL_N |
next_mem_state == MEM_IOS_C |
next_mem_state == MEM_IOS_N ;
 
 
//-------1---------2---------3--------State Machines-------6---------7---------8---------9--------0
// we do this just to save virtual paper below.
// 6 5 4 15
assign {next_dec_state, next_mem_state, next_pipe_state} = next_state;
 
always @(ir1 or wb_int or inst_haz or wb_int or dec_state or mem_exec_dec or cb_mem or ed_nn or
ed_blk_cp or ed_blk_in or ed_blk_out or ed_retn or ed_blk_mv or ed_dbl_rd or blk_done or
fr or jmpr_true or callnn_true or jmpnn_true )
begin
case (dec_state)
DEC_IDLE: next_state = {DEC_IF1, MEM_NOP, IPIPE_NOP};
DEC_HALT:
if (wb_int) next_state = {DEC_INT1,MEM_NOP ,IPIPE_NOP};// stay here until interrupt or reset
else next_state = {DEC_HALT,MEM_NOP ,IPIPE_NOP};
DEC_IF1 : next_state = {DEC_IF2 ,MEM_IFPP1 ,IPIPE_NOP};
DEC_IF2 : next_state = {DEC_EXEC,MEM_IFPP1 ,IPIPE_EN1};
DEC_IF2A: next_state = {DEC_EXEC,MEM_IFPP1 ,IPIPE_NOP};
DEC_EXEC:
if (inst_haz) next_state = {DEC_IF1, MEM_DECPC , IPIPE_NOP};
else if (wb_int) next_state = {DEC_INT1,MEM_NOP ,IPIPE_NOP};
else
case (mem_exec_dec) // full case but can all tools understand ? just make a default
I1_CB : next_state = {DEC_CB, MEM_IFPP1, IPIPE_EN1};// IF2_NOP -> nn <= (MEM)
I1_DDFD : next_state = {DEC_DDFD, MEM_IFPP1, IPIPE_EN1};// gets real inst
I1_ED : next_state = {DEC_ED, MEM_IFPP1, IPIPE_EN1};
I1_JMP : next_state = {DEC_IF2, MEM_JMPHL, IPIPE_NOP};
I1_N : next_state = {DEC_N, MEM_IFPP1, IPIPE_ENN};
I1_NN : next_state = {DEC_NN, MEM_IFPP1, IPIPE_ENN};
I1_OF : next_state = {DEC_OF, MEM_OF1, IPIPE_EN12};//transfer, don't activate
I1_OS : next_state = {DEC_IF2, MEM_OS1, IPIPE_EN1}; // -> ir2_NOP
I1_POP : next_state = {DEC_POP, MEM_OFSP, IPIPE_EN12};
I1_PUSH : next_state = {DEC_PUSH, MEM_OSSP, IPIPE_EN12};
I1_RET : next_state = {DEC_RET, MEM_OFSP, IPIPE_EN12};
I1_RMW : next_state = {DEC_RMW, MEM_OF1, IPIPE_EN12};//can't activate till data rdy
I1_RST : next_state = {DEC_IF2, MEM_IFRST, IPIPE_ENN};
I1_R2R : next_state = {DEC_EXEC, MEM_IFPP1, IPIPE_EN12A2};
default : next_state = {DEC_EXEC, MEM_IFPP1, IPIPE_EN12A2}; //I1_R2R
endcase
DEC_CB: if (cb_mem) next_state = {DEC_CBM, MEM_OF1, IPIPE_EN12};
else next_state = {DEC_EXEC, MEM_IFPP1, IPIPE_EN12A2};
DEC_DDFD: // except for CB and EB these all act the same H and L get modified by prefix
case (mem_exec_dec)
I1_CB : next_state = {DEC_PFxCB,MEM_IFPP1, IPIPE_EN1};// IF2_NOP -> nn <= (MEM)
I1_DDFD : next_state = {DEC_DDFD, MEM_IFPP1, IPIPE_EN1};
I1_ED : next_state = {DEC_ED, MEM_IFPP1, IPIPE_EN1};//How do we clear the prefix?
I1_JMP : next_state = {DEC_IF2, MEM_JMPHL, IPIPE_NOP};
I1_N : next_state = {DEC_N, MEM_IFPP1, IPIPE_ENN};
I1_NN : next_state = {DEC_NN, MEM_IFPP1, IPIPE_ENN};
I1_OF : next_state = {DEC_DDOF, MEM_IFPP1, IPIPE_ENN}; // d to nn - need to get d
// LD A,(BC) LD A,(DE) will
// become ix+d - do we care ?
// i hope not
I1_OS : next_state = {DEC_DDOS, MEM_IFPP1, IPIPE_ENN}; // d to nn
I1_POP : next_state = {DEC_POP, MEM_OFSP, IPIPE_EN12};
I1_PUSH : next_state = {DEC_PUSH, MEM_OSSP, IPIPE_EN12};
I1_RET : next_state = {DEC_RET, MEM_OFSP, IPIPE_EN12};
I1_RMW : next_state = {DEC_RMW, MEM_OF1, IPIPE_EN12};
I1_RST : next_state = {DEC_IF2, MEM_IFRST, IPIPE_NOP}; // just dump next inst
I1_R2R : next_state = {DEC_EXEC, MEM_IFPP1, IPIPE_EN12A2}; //I1_R2R
default : next_state = {DEC_EXEC, MEM_IFPP1, IPIPE_EN12A2}; //I1_R2R
endcase
DEC_ED:
if (ed_nn) next_state = {DEC_EDNN1, MEM_IFPP1, IPIPE_ENN};
// we need to set inc and io and repeat flags on this state for continued block
// processing -- keep the states of this machine somewhat manageable.
else if (ed_blk_cp ) next_state = {DEC_EDBCP1, MEM_OFHL_PM, IPIPE_EN12};// MEM_OFHL_PM triggers --BC
else if (ed_blk_in ) next_state = {DEC_EDBIN1, MEM_IOF_C, IPIPE_EN12};// MEM_IOF_C triggers --B
else if (ed_blk_out) next_state = {DEC_EDBOUT1,MEM_OFHL_PM, IPIPE_EN12};
else if (ed_blk_mv ) next_state = {DEC_EDBMV1, MEM_OFHL_PM, IPIPE_EN12};
else if (ed_retn ) next_state = {DEC_RET, MEM_OFSP, IPIPE_EN12};// see int logic below
else next_state = {DEC_EXEC, MEM_IFPP1, IPIPE_EN12A2};
// double register reads and writes here
DEC_EDNN1: next_state = {DEC_EDNN2, MEM_NOP, IPIPE_ENN}; // address to nn
DEC_EDNN2:
if (ed_dbl_rd) next_state = {DEC_EDRD1, MEM_OFNN, IPIPE_NOP};
else next_state = {DEC_EDWR, MEM_OSNN, IPIPE_NOP};// OSNN selects data ok?
DEC_EDRD1: next_state = {DEC_EDRD2, MEM_OFADRP1, IPIPE_ENN}; // 1st byte 2n
DEC_EDRD2: next_state = {DEC_IF2, MEM_IFPP1, IPIPE_ENNA2}; // 2nd byte 2nn
DEC_EDWR: next_state = {DEC_IF1, MEM_OSADRP1, IPIPE_NOP};
// ED block moves
DEC_EDBCP1:
if (blk_done) next_state = {DEC_EXEC, MEM_IFPP1,IPIPE_ENNA2};
else if(wb_int) next_state = {DEC_INT1, MEM_NOP, IPIPE_ENNA2};
else next_state = {DEC_EDBCP2, MEM_NOP, IPIPE_ENNA2};//set flags
DEC_EDBCP2: next_state = {DEC_EDBCP3, MEM_NOP, IPIPE_NOP};//wait for fr. alu_out is slow
DEC_EDBCP3: if (fr[7]) next_state = {DEC_EXEC , MEM_IFPP1, IPIPE_NOP};
else next_state = {DEC_EDBCP1, MEM_OFHL_PM, IPIPE_NOP};
DEC_EDBIN1: next_state = {DEC_EDBIN2, MEM_NOP, IPIPE_ENN};
DEC_EDBIN2: if (blk_done) next_state = {DEC_IF2A, MEM_OSHL_PM,IPIPE_NOP}; // implies nn
else if (wb_int) next_state = {DEC_INT1, MEM_OSHL_PM,IPIPE_NOP};
else next_state = {DEC_EDBIN1,MEM_OSHL_PM,IPIPE_NOP};//set flags
DEC_EDBIN3: next_state = {DEC_EDBIN1, MEM_IOF_C, IPIPE_NOP};
DEC_EDBOUT1: next_state = {DEC_EDBOUT2, MEM_NOP, IPIPE_ENN};
DEC_EDBOUT2:if (blk_done) next_state = {DEC_EXEC, MEM_IOS_C,IPIPE_NOP};
else if (wb_int) next_state = {DEC_INT1, MEM_IOS_C,IPIPE_NOP}; // DEC_EDBOUT: if (blk_rpt)
else next_state = {DEC_EDBOUT3,MEM_IOS_C,IPIPE_NOP};
DEC_EDBOUT3: next_state = {DEC_EDBOUT1,MEM_OFHL_PM, IPIPE_NOP};
 
DEC_EDBMV1: next_state = {DEC_EDBMV2, MEM_NOP, IPIPE_ENN};
DEC_EDBMV2: if (blk_done) next_state = {DEC_EXEC, MEM_OSDE_PM,IPIPE_NOP};
else if (wb_int) next_state = {DEC_INT1, MEM_OSDE_PM,IPIPE_NOP}; //DEC_EDBOUT: if (blk_rpt)
else next_state = {DEC_EDBMV3,MEM_OSDE_PM,IPIPE_NOP};
DEC_EDBMV3: next_state = {DEC_EDBMV1,MEM_OFHL_PM, IPIPE_NOP};
DEC_N:
if (INsA_6N7== ir1) next_state = {DEC_NIN, MEM_IOF_N, IPIPE_EN12};
else if (OUTs6N7_A==ir1) next_state = {DEC_IF1, MEM_IOS_N, IPIPE_EN12};
else if (LDs6HL7_N==ir1) next_state = {DEC_IF1, MEM_OS_HL_N, IPIPE_EN12};
else if (jmpr_true) next_state = {DEC_IF2, MEM_IFREL_N, IPIPE_NOP};
else next_state = {DEC_EXEC, MEM_IFPP1, IPIPE_EN12A2};//r2r or false jumps
DEC_NIN: next_state = {DEC_IF2, MEM_IFPP1, IPIPE_ENNA2};
//ISSUES: LDsSP_NN - load commanded from ir2 decode? and mechaninsm for updating PC on
// JMP and CALL
// on CALL We have IFNN for JMP
// For CALL Use MEM_CALL to transfer pc<=nn, nn<=pc, adr<=sp then MEM_OSSP then IFPP1
// For LDsSP_NN yes update from ir2 decode.
DEC_NN:
if (callnn_true) next_state = {DEC_NNCALL1, MEM_NOP, IPIPE_ENN}; // this gets new adr in nn
// if we store from nn we can't do
// a mem op now
else if (jmpnn_true) next_state = {DEC_NNJMP, MEM_NOP, IPIPE_ENN}; // gotta get nn before we can
// transfer to adr.
else if (LDs6NN7_A==ir1) next_state = {DEC_NNOS3, MEM_IFPP1, IPIPE_ENN};
else if (LDs6NN7_HL==ir1) next_state = {DEC_NNOS1, MEM_IFPP1, IPIPE_ENN};
else if (LDsA_6NN7==ir1) next_state = {DEC_NNOF3, MEM_IFPP1, IPIPE_ENN};
else if (LDsHL_6NN7==ir1) next_state = {DEC_NNOF1, MEM_IFPP1, IPIPE_ENN};
else next_state = { DEC_IF2, MEM_IFPP1, IPIPE_ENNEN2A2};
DEC_NNCALL1: next_state = {DEC_NNCALL2, MEM_CALL , IPIPE_NOP};
DEC_NNCALL2: next_state = {DEC_IF1, MEM_OSSP, IPIPE_ENN};//A1 activates r2r xfers from ir1
DEC_NNJMP: next_state = {DEC_IF2, MEM_IFNN , IPIPE_NOP};
// ISSUE: we blow out ir1 here - so need to keep some status to execute OSNN2.
// general solution if not DEC_EXEC we get op frmo nn high byte.
// note that first MEM_OSNN trabsferrs nn to wb_adr.
DEC_NNOS1: next_state = {DEC_NNOS2, MEM_OSNN, IPIPE_EN1};
DEC_NNOS2: next_state = {DEC_IF2A, MEM_OSNN, IPIPE_NOP};
DEC_NNOS3: next_state = {DEC_IF2A, MEM_OSNN, IPIPE_EN1};
DEC_NNOF1: next_state = {DEC_NNOF2, MEM_OFNN, IPIPE_EN12};
DEC_NNOF2: next_state = {DEC_NNOF4, MEM_OFNN, IPIPE_ENN};
DEC_NNOF3: next_state = {DEC_NNOF4, MEM_OFNN, IPIPE_EN12};
DEC_NNOF4: next_state = {DEC_EXEC, MEM_IFPP1, IPIPE_ENNA2};
DEC_DDOS: next_state = {DEC_IF2A, MEM_OSIXpD, IPIPE_EN12};
DEC_DDOF: next_state = {DEC_OF , MEM_OFIXpD, IPIPE_EN12};
DEC_OF: next_state = {DEC_EXEC, MEM_IFPP1 , IPIPE_ENNA2};
DEC_POP: next_state = {DEC_NNOF4, MEM_OFSP, IPIPE_ENN };
DEC_PUSH: next_state = {DEC_IF2A , MEM_OSSP, IPIPE_NOP };
DEC_RET: next_state = { DEC_RET2, MEM_OFSP, IPIPE_ENN };
DEC_RET2: next_state = { DEC_NNCALL2, MEM_NOP, IPIPE_ENN };
// blow off a tick so we don't gronk adr
DEC_RMW: next_state = {DEC_RMW2, MEM_NOP, IPIPE_ENNA2}; //activate
DEC_RMW2: next_state = {DEC_IF1 , MEM_OSADR, IPIPE_NOP }; // from nn
// IF memory -- rmw else these are all reg 2 reg
DEC_CBM: if (CB_BIT==ir1[9:6]) next_state = {DEC_IF2, MEM_IFPP1, IPIPE_ENNA2};
else next_state = {DEC_RMW2 , MEM_NOP, IPIPE_ENNA2};
// The DDCB anf FDCB all assume memory operands
// These beauties always rmw memory. If a register op is default, they also
// update the register. Programmers think of this as 2 ops for the price of 1.
// unfortunately it is 2 ops for the price of 4.-- its not the number of lines
// of assembler code that count but the number of bytes assembled. Oh well I signed
// up for this...... and had a notion of what I was getting into.
//
DEC_PFxCB: next_state = { DEC_PFxCB2, MEM_IFPP1, IPIPE_ENN}; // this gets d
DEC_PFxCB2: next_state = { DEC_PFxCB3, MEM_OFIXpD, IPIPE_EN1}; //actual inst
DEC_PFxCB3: next_state = { DEC_PFxCB4, MEM_IFPP1, IPIPE_ENNEN2A2};
DEC_PFxCB4: next_state = { DEC_IF2A, MEM_OSADR, IPIPE_EN1}; //execute ir2
// crap gotta subtract 2 (we always increment pc 2 times relative to the inst
// that got interrupted. also can't push and dec pc without 2 adders.
// choices: 1) fix up pc in 2 ticks 2) fix in 1 tick 3) add adder and do it fast
// if there's anyone who knows is there anyone who cares.
// guess I'll do it fast -- just a 16 bit subtractor. heck silicon is
// cheap.
DEC_INT1: next_state <= {DEC_INT2, MEM_OSSP_PCM2, IPIPE_NOP}; //must derement PC
DEC_INT2: next_state <= {DEC_INT3, MEM_OSSP_P, IPIPE_NOP}; //must dec sp and PC 2 ops?
DEC_INT3: next_state <= {DEC_INT4, MEM_INTA, IPIPE_NOP};
DEC_INT4: next_state <= {DEC_INT5, MEM_NOP, IPIPE_ENN};
DEC_INT5: next_state <= {DEC_IF2, MEM_IFINT, IPIPE_NOP};
default: next_state <= {DEC_IDLE, MEM_NOP, IPIPE_NOP};
endcase
end
 
 
always @(posedge clk or posedge rst)
if (rst) dec_state <= DEC_IDLE;
else if (wb_rdy_nhz ) dec_state <= next_dec_state;
 
 
//-----------------------instruction register #1 ----------------------------------
// // next_pipe_state {ir1,ir2,nn,act_ir2}
 
wire update_prefix = dec_state == DEC_EXEC | dec_state == DEC_DDFD;
always @(posedge clk or posedge rst)
if (rst) ir1 <= NOP;
else if (wb_rdy_nhz & next_pipe_state[3]) ir1 <= {2'b0, wb_dat_i} ;
else if ( wb_rdy_nhz &update_prefix ) ir1 <= {ir1[7:0]==8'hed, ir1[7:0]==8'hcd, ir1[7:0]};
 
//----------- prefix states -----------------------------------------
// strings of prefix insts are ignored up to last one. Also dded and fded are ignored
// but ddcd and fdcd are defined prefix sets.
//
always @(posedge clk)
if (wb_rdy_nhz & next_pipe_state[3]) {ir1dd, ir1fd } <= 2'b0;
else if ( wb_rdy_nhz & update_prefix )
{ir1dd, ir1fd } <= {ir1dd | (ir1[7:0]==8'hdd ) & (ir1[7:0]!=8'hed) & (ir1[7:0]!=8'hfd),
ir1fd | (ir1[7:0]==8'hfd ) & (ir1[7:0]!=8'hed) & (ir1[7:0]!=8'hdd) };
//------------------- inst reg #2 -----------------------------------
// This stuff is key to the data hazard logic. Hazards arise only AFTER activation of
// a previous instruction. Fundamentally all state changes related to ir1 may be
// delayed eithor by a delay in wb response, or by a hazard. Ir2 state changes
// are keyed off exec_ir2 - and always happen immediately. ( exec_ir2 always is
// immediately reset - unless of course a new instruction is transferred and executed.
//
//
//
always @(posedge clk or posedge rst)
if (rst) ir2 <= 10'h0;
else if (wb_rdy_nhz & next_pipe_state[2]) ir2 <= ir1;
always @(posedge clk or posedge rst)
if (rst)
begin
ir2dd <= 1'b0;
ir2fd <= 1'b0;
end
else if (wb_rdy_nhz & next_pipe_state[2])
begin
ir2dd <= ir1dd;
ir2fd <= ir1fd;
end
always @(posedge clk )
if (wb_rdy_nhz & next_pipe_state[0]) exec_ir2 <= 1'b1;
else exec_ir2 <= 1'b0;
 
 
 
 
 
//--------------- block move flags ------------------------
always @(posedge clk)
if (dec_state == DEC_ED) blk_inc_flg <= dec_blk_inc;
 
always @(posedge clk)
if (dec_state == DEC_ED) blk_rpt_flg <= dec_blk_rpt;
 
 
always @(posedge clk)
if (dec_state == DEC_ED) blk_io_flg <= dec_blk_io;
 
 
//-------------------------- memory interface stuff ----------------------------
 
 
// -- wb_adr
always @(posedge clk) if (wb_rdy) wb_adr <= mux21;
 
// -- wb_we;
 
always @(posedge clk or posedge rst)
if (rst) wb_we <= 1'b0;
else if (wb_rdy_nhz) wb_we <= we_next;
// -- wb_cyc
 
wire no_wb_start = mem_idle | mem_halt | mem_op3 & blk_cmp_reg | mem_op1 & rmw_reg;
always @(posedge clk or posedge rst)
if (rst) wb_cyc <= 1'b0;
else if (wb_rdy_nhz) wb_cyc <= next_mem_state != MEM_NOP ;
 
// -- wb_stb;
 
always @(posedge clk or posedge rst)
if (rst) wb_stb <= 1'b0;
else if (wb_rdy_nhz) wb_stb <= next_mem_state != MEM_NOP ;
 
 
// -- wb_lock lets not worry about lock unless somebody thinks it matters.
 
// -- wb_tga_io
always @(posedge clk or posedge rst)
if (rst) wb_tga_io <= 2'b0;
else if (wb_rdy_nhz)
begin
if (next_mem_state == MEM_IOF_C |
next_mem_state == MEM_IOS_C |
next_mem_state == MEM_IOF_N |
next_mem_state == MEM_IOS_N ) wb_tga_io <= TAG_IO;
 
else if (next_mem_state == MEM_INTA ) wb_tga_io <= TAG_INT;
else wb_tga_io <= 2'b0 ;
end
 
//------------ the input-output data register (nn) -----------------------------------------
// basicaly we store lsb's folowed by msb's
// input is always to msb (of input regiser) first (if a 2 byte operand, lsb<=msb before transfer)
// this gets nn to position { msb, lsb } before we execute 2 byte transfer.
//
// if we don't update - we byte swap as well as
// when we read
// IMPORTANT We store from MSB's so that on block moves read and write from same place.
// this makes the output look somewhat bass-ackwards but who is looking?
//
// There is probably a simpler way to do this. Unfortunately there are a lot of
// dependencies here. Ill continue as planned till it proves untractable.
// Issue is that we are using ir1 to provide the op specification -- but in general
// ir1 gets gronked before 2nd store (if it happens) - so we need to capture both
// data first time OSIXpD OS1 OSSP, and MEM_OSNN
//
// on consideration lets make a flag flag_firstos that gets set on first store after
// DEC_EXEC
// ISSUE reads both here and in ir1 need to execute on wb_ack ?
// I recall wb_ack must stay active until a change in cycle ?
// need to review wb spec.
//
//issue: how is EXs6SP7_HL implemented -- it is known as a rmw - and only trick for this file is
// that nn must be properly updates with ir2
always @(posedge clk or posedge rst)
if (rst) flag_os1 <= 1'b0;
else if ((DEC_EXEC == next_dec_state) & wb_rdy) flag_os1 <= 1'b0;
else if ( we_next ) flag_os1 <= 1'b1;
 
 
wire [15:0] pc_2 = pc - 16'h2;
always @(posedge clk)
if (wb_rdy_nhz)
begin
if ( we_next & flag_os1) nn <= { nn[7:0], nn[15:8] } ;
else if(we_next & ( next_mem_state == MEM_CALL)) nn <= {pc[7:0], pc[15:8]};
else if(we_next & ( next_mem_state == MEM_OSSP_PCM2)) nn <= {pc_2[7:0], pc_2[15:8]};
else if(EXs6SP7_HL== ir2 & ir2dd & exec_ir2) nn <= ixr;
else if(EXs6SP7_HL== ir2 & ir2fd & exec_ir2) nn <= iyr;
else if(EXs6SP7_HL== ir2 & exec_ir2) nn <= hl;
// these are the general cases with ir1 providing register specification
else if(we_next & ( next_mem_state == MEM_OS1 |
next_mem_state == MEM_OSIXpD |
next_mem_state == MEM_OSSP |
next_mem_state == MEM_OSNN ) )
begin
if (os_a) nn[15:8] <= ar;
if (os_b) nn[15:8] <= br;
if (os_c) nn <= {cr, br }; // use for PUSHsBC
if (os_d) nn[15:8] <= dr;
if (os_e) nn <= {er, dr }; // use for PUSHsDE
if (os_h) nn[15:8] <= hr;
if (os_l) nn <= {lr, hr }; // use for PUSHsHL
if (os_f) nn <= {fr, ar }; // use for PUSHsAF
end
else nn <= { wb_dat_i, nn[15:8] };
end
 
 
//------------------- pc and sp ----------------------------------------------------
always @(posedge clk or posedge rst)
if (rst) pc <= 16'h0;
else if (wb_rdy_nhz)
begin
if (next_mem_state == MEM_DECPC) pc <= pc - 16'h1; // decrementer could perhaps be shared.
if (next_mem_state == MEM_IFPP1) pc <= adr_alu;
if (next_mem_state == MEM_CALL ) pc <= nn; //Use MEM_CALL to exchange pc<=>nn
if (next_mem_state == MEM_IFRST) pc <= src_mux;
if (next_mem_state == MEM_JMPHL) pc <= src_mux;
if (next_mem_state == MEM_IFNN ) pc <= src_mux;
if (next_mem_state == MEM_IFINT) pc <= src_mux;
end
 
//---------------------------------- sp -----------------------------------------------------
//
// with pc updates are always made from ir1 as the PC is so critical to instruction flow.
// (this of course creates the possibility of an "inst_hazard" - where data is stored in an
// instruction already fetched - see below)
// with sp the situation is not so simple.
// Issues - especially regarding hazards.
//
// LDsSP_NN this should be done from ir2 - no hazard as active state is ALWAYS IF2
//
// ADDsHL_SP The add is a pre-add so sp cannot be modified before inst is executed from ir2
// DECsSP Just do it with ir1 at DEC_EXEC gotcha need -- IFPP1 in general use ir2 -> hazard
// EXs6SP7_HL rmw - no change to sp - no issue here
// INCsSP Just do it with ir1 at DEC_EXEC gotcha -- IFPP1 use ir2 -> hazard
// LDsSP_HL do from ir1 and use standard hazard logic (if H or L is being
// updated -- wait)
//
// ED_LDs6NN7_REG REG== SP // needs to be done from ir2
// ED_LDsREG_6NN7 REG== SP // do from ir2 - no hazard as executed on IF2 - refill pipe
 
always @(posedge clk )
if (exec_ir2 ) // this has priority of course
begin
if (LDsSP_NN == ir2) sp <= nn;
if (ED_LDsREG_6NN7 == ir2) sp <= nn;
if ( DECsSP == ir2 ) sp <= add16;
if ( INCsSP == ir2 ) sp <= add16;
end
else if (wb_rdy_nhz)
begin
if ( DECsSP == ir1 & dec_state == DEC_EXEC) sp <= adr_alu;
if ( INCsSP == ir1 & dec_state == DEC_EXEC) sp <= adr_alu;
if ( LDsSP_HL == ir1 & dec_state == DEC_EXEC) sp <= {hr,lr};
if (next_mem_state == MEM_OFSP ) sp <= adr_alu;
if (next_mem_state == MEM_OSSP ) sp <= adr_alu;
if (next_mem_state == MEM_OSSP_PCM2 ) sp <= adr_alu;
if (next_mem_state == MEM_OSSP_P ) sp <= adr_alu;
end
//----------------- inst hazard logic ------------------------------------------
 
 
 
always @(posedge clk or posedge rst)
if (rst) inst_haz <= 1'b0;
else if (we_next & (pc - 16'h1) == mux21) inst_haz <= 1'b1;
else if (dec_state == DEC_EXEC) inst_haz <= 1'b0; // highest priority interrupt
 
//-------------------- int logic ----------------------------------------
// We have a wishbone interrupt system - which i guess does not preclude a
// non-maskable interrupt...... but bottom line is that such an interrupt is
// definately out of favor with current system thinking. Within an embedded system
// ( the target application here ) a single interrupt controller capable of handeling
// as many interrupts as desired is the best choice.
// Therefore we enable only mode 2 interrupts and a single enable ff.
//
// This begs the question of what to do with the "RETI" instruction -- ED4D. We opt to
// enable interrupts with this instruction (and all its "aliases").
//
always @(posedge clk or posedge rst)
if (rst) int_en <= 1'b0;
else if (wb_rdy_nhz)
begin
if ((dec_state == DEC_EXEC) & (DI== ir1)) int_en <= 1'b0;
else if ((dec_state == DEC_EXEC) & en_int_next) int_en <= 1'b1;
else if ((dec_state == DEC_ED) & ed_retn) int_en <= 1'b0;
if (dec_state == DEC_INT1) int_en <= 1'b0;
end
 
 
always @(posedge clk or posedge rst)
if (rst) en_int_next <=1'b0;
else if (wb_rdy_nhz)
begin
if ((dec_state == DEC_EXEC) & (EI== ir1)) en_int_next <=1'b1;
else if (dec_state == DEC_EXEC) en_int_next <=1'b0;
end
always @(posedge clk)
wb_irq_sync <= wb_int_rq;
 
assign wb_int = wb_irq_sync & int_en;
 
endmodule
/trunk/rtl/a.out
0,0 → 1,10000
:vpi_time_precision + 0;
:vpi_module "system";
S_inst_exec .scope module, "inst_exec";
.timescale 0;
L_inst_exec._s18 .functor OR, C<z>, C<z>, C<0>, C<0>;
L_inst_exec._s30 .functor AND, L_inst_exec._s27, V_$0076D350[0], C<1>, C<1>;
L_inst_exec._s31 .functor AND, L_inst_exec._s27, V_$0076D350[1], C<1>, C<1>;
L_inst_exec._s32 .functor AND, L_inst_exec._s27, V_$0076D350[2], C<1>, C<1>;
L_inst_exec._s33 .functor AND, L_inst_exec._s27, V_$0076D350[3], C<1>, C<1>;
L_inst_exec._s34 .functor AND, L_inst_exec._s27, V_$0076D350[4], C<1>, C<1>;
L_inst_exec._s35 .functor AND, L_inst_exec._s27, V_$0076D350[5], C<1>, C<1>;
L_inst_exec._s36 .functor AND, L_inst_exec._s27, V_$0076D350[6], C<1>, C<1>;
L_inst_exec._s37 .functor AND, L_inst_exec._s27, V_$0076D350[7], C<1>, C<1>;
L_inst_exec._s44 .functor AND, L_inst_exec._s41, V_$00639CB8[0], C<1>, C<1>;
L_inst_exec._s45 .functor AND, L_inst_exec._s41, V_$00639CB8[1], C<1>, C<1>;
L_inst_exec._s46 .functor AND, L_inst_exec._s41, V_$00639CB8[2], C<1>, C<1>;
L_inst_exec._s47 .functor AND, L_inst_exec._s41, V_$00639CB8[3], C<1>, C<1>;
L_inst_exec._s48 .functor AND, L_inst_exec._s41, V_$00639CB8[4], C<1>, C<1>;
L_inst_exec._s49 .functor AND, L_inst_exec._s41, V_$00639CB8[5], C<1>, C<1>;
L_inst_exec._s50 .functor AND, L_inst_exec._s41, V_$00639CB8[6], C<1>, C<1>;
L_inst_exec._s51 .functor AND, L_inst_exec._s41, V_$00639CB8[7], C<1>, C<1>;
L_inst_exec._s53 .functor OR, L_inst_exec._s30, L_inst_exec._s44, C<0>, C<0>;
L_inst_exec._s54 .functor OR, L_inst_exec._s31, L_inst_exec._s45, C<0>, C<0>;
L_inst_exec._s55 .functor OR, L_inst_exec._s32, L_inst_exec._s46, C<0>, C<0>;
L_inst_exec._s56 .functor OR, L_inst_exec._s33, L_inst_exec._s47, C<0>, C<0>;
L_inst_exec._s57 .functor OR, L_inst_exec._s34, L_inst_exec._s48, C<0>, C<0>;
L_inst_exec._s58 .functor OR, L_inst_exec._s35, L_inst_exec._s49, C<0>, C<0>;
L_inst_exec._s59 .functor OR, L_inst_exec._s36, L_inst_exec._s50, C<0>, C<0>;
L_inst_exec._s60 .functor OR, L_inst_exec._s37, L_inst_exec._s51, C<0>, C<0>;
L_inst_exec._s67 .functor AND, L_inst_exec._s64, V_$0065BD38[0], C<1>, C<1>;
L_inst_exec._s68 .functor AND, L_inst_exec._s64, V_$0065BD38[1], C<1>, C<1>;
L_inst_exec._s69 .functor AND, L_inst_exec._s64, V_$0065BD38[2], C<1>, C<1>;
L_inst_exec._s70 .functor AND, L_inst_exec._s64, V_$0065BD38[3], C<1>, C<1>;
L_inst_exec._s71 .functor AND, L_inst_exec._s64, V_$0065BD38[4], C<1>, C<1>;
L_inst_exec._s72 .functor AND, L_inst_exec._s64, V_$0065BD38[5], C<1>, C<1>;
L_inst_exec._s73 .functor AND, L_inst_exec._s64, V_$0065BD38[6], C<1>, C<1>;
L_inst_exec._s74 .functor AND, L_inst_exec._s64, V_$0065BD38[7], C<1>, C<1>;
L_inst_exec._s76 .functor OR, L_inst_exec._s53, L_inst_exec._s67, C<0>, C<0>;
L_inst_exec._s77 .functor OR, L_inst_exec._s54, L_inst_exec._s68, C<0>, C<0>;
L_inst_exec._s78 .functor OR, L_inst_exec._s55, L_inst_exec._s69, C<0>, C<0>;
L_inst_exec._s79 .functor OR, L_inst_exec._s56, L_inst_exec._s70, C<0>, C<0>;
L_inst_exec._s80 .functor OR, L_inst_exec._s57, L_inst_exec._s71, C<0>, C<0>;
L_inst_exec._s81 .functor OR, L_inst_exec._s58, L_inst_exec._s72, C<0>, C<0>;
L_inst_exec._s82 .functor OR, L_inst_exec._s59, L_inst_exec._s73, C<0>, C<0>;
L_inst_exec._s83 .functor OR, L_inst_exec._s60, L_inst_exec._s74, C<0>, C<0>;
L_inst_exec._s90 .functor AND, L_inst_exec._s87, V_$00643940[0], C<1>, C<1>;
L_inst_exec._s91 .functor AND, L_inst_exec._s87, V_$00643940[1], C<1>, C<1>;
L_inst_exec._s92 .functor AND, L_inst_exec._s87, V_$00643940[2], C<1>, C<1>;
L_inst_exec._s93 .functor AND, L_inst_exec._s87, V_$00643940[3], C<1>, C<1>;
L_inst_exec._s94 .functor AND, L_inst_exec._s87, V_$00643940[4], C<1>, C<1>;
L_inst_exec._s95 .functor AND, L_inst_exec._s87, V_$00643940[5], C<1>, C<1>;
L_inst_exec._s96 .functor AND, L_inst_exec._s87, V_$00643940[6], C<1>, C<1>;
L_inst_exec._s97 .functor AND, L_inst_exec._s87, V_$00643940[7], C<1>, C<1>;
L_inst_exec._s99 .functor OR, L_inst_exec._s76, L_inst_exec._s90, C<0>, C<0>;
L_inst_exec._s100 .functor OR, L_inst_exec._s77, L_inst_exec._s91, C<0>, C<0>;
L_inst_exec._s101 .functor OR, L_inst_exec._s78, L_inst_exec._s92, C<0>, C<0>;
L_inst_exec._s102 .functor OR, L_inst_exec._s79, L_inst_exec._s93, C<0>, C<0>;
L_inst_exec._s103 .functor OR, L_inst_exec._s80, L_inst_exec._s94, C<0>, C<0>;
L_inst_exec._s104 .functor OR, L_inst_exec._s81, L_inst_exec._s95, C<0>, C<0>;
L_inst_exec._s105 .functor OR, L_inst_exec._s82, L_inst_exec._s96, C<0>, C<0>;
L_inst_exec._s106 .functor OR, L_inst_exec._s83, L_inst_exec._s97, C<0>, C<0>;
L_inst_exec._s113 .functor AND, L_inst_exec._s110, L_inst_exec._s5/0, C<1>, C<1>;
L_inst_exec._s114 .functor AND, L_inst_exec._s110, L_inst_exec._s5/1, C<1>, C<1>;
L_inst_exec._s115 .functor AND, L_inst_exec._s110, L_inst_exec._s5/2, C<1>, C<1>;
L_inst_exec._s116 .functor AND, L_inst_exec._s110, L_inst_exec._s5/3, C<1>, C<1>;
L_inst_exec._s117 .functor AND, L_inst_exec._s110, L_inst_exec._s5/4, C<1>, C<1>;
L_inst_exec._s118 .functor AND, L_inst_exec._s110, L_inst_exec._s5/5, C<1>, C<1>;
L_inst_exec._s119 .functor AND, L_inst_exec._s110, L_inst_exec._s5/6, C<1>, C<1>;
L_inst_exec._s120 .functor AND, L_inst_exec._s110, L_inst_exec._s5/7, C<1>, C<1>;
L_inst_exec._s122 .functor OR, L_inst_exec._s99, L_inst_exec._s113, C<0>, C<0>;
L_inst_exec._s123 .functor OR, L_inst_exec._s100, L_inst_exec._s114, C<0>, C<0>;
L_inst_exec._s124 .functor OR, L_inst_exec._s101, L_inst_exec._s115, C<0>, C<0>;
L_inst_exec._s125 .functor OR, L_inst_exec._s102, L_inst_exec._s116, C<0>, C<0>;
L_inst_exec._s126 .functor OR, L_inst_exec._s103, L_inst_exec._s117, C<0>, C<0>;
L_inst_exec._s127 .functor OR, L_inst_exec._s104, L_inst_exec._s118, C<0>, C<0>;
L_inst_exec._s128 .functor OR, L_inst_exec._s105, L_inst_exec._s119, C<0>, C<0>;
L_inst_exec._s129 .functor OR, L_inst_exec._s106, L_inst_exec._s120, C<0>, C<0>;
L_inst_exec._s136 .functor AND, L_inst_exec._s133, L_inst_exec._s11/0, C<1>, C<1>;
L_inst_exec._s137 .functor AND, L_inst_exec._s133, L_inst_exec._s11/1, C<1>, C<1>;
L_inst_exec._s138 .functor AND, L_inst_exec._s133, L_inst_exec._s11/2, C<1>, C<1>;
L_inst_exec._s139 .functor AND, L_inst_exec._s133, L_inst_exec._s11/3, C<1>, C<1>;
L_inst_exec._s140 .functor AND, L_inst_exec._s133, L_inst_exec._s11/4, C<1>, C<1>;
L_inst_exec._s141 .functor AND, L_inst_exec._s133, L_inst_exec._s11/5, C<1>, C<1>;
L_inst_exec._s142 .functor AND, L_inst_exec._s133, L_inst_exec._s11/6, C<1>, C<1>;
L_inst_exec._s143 .functor AND, L_inst_exec._s133, L_inst_exec._s11/7, C<1>, C<1>;
L_inst_exec._s145 .functor OR, L_inst_exec._s122, L_inst_exec._s136, C<0>, C<0>;
L_inst_exec._s146 .functor OR, L_inst_exec._s123, L_inst_exec._s137, C<0>, C<0>;
L_inst_exec._s147 .functor OR, L_inst_exec._s124, L_inst_exec._s138, C<0>, C<0>;
L_inst_exec._s148 .functor OR, L_inst_exec._s125, L_inst_exec._s139, C<0>, C<0>;
L_inst_exec._s149 .functor OR, L_inst_exec._s126, L_inst_exec._s140, C<0>, C<0>;
L_inst_exec._s150 .functor OR, L_inst_exec._s127, L_inst_exec._s141, C<0>, C<0>;
L_inst_exec._s151 .functor OR, L_inst_exec._s128, L_inst_exec._s142, C<0>, C<0>;
L_inst_exec._s152 .functor OR, L_inst_exec._s129, L_inst_exec._s143, C<0>, C<0>;
L_inst_exec._s160 .functor AND, L_inst_exec._s156, C<z>, C<1>, C<1>;
L_inst_exec._s161 .functor AND, L_inst_exec._s156, C<z>, C<1>, C<1>;
L_inst_exec._s162 .functor AND, L_inst_exec._s156, C<z>, C<1>, C<1>;
L_inst_exec._s163 .functor AND, L_inst_exec._s156, C<z>, C<1>, C<1>;
L_inst_exec._s164 .functor AND, L_inst_exec._s156, C<z>, C<1>, C<1>;
L_inst_exec._s165 .functor AND, L_inst_exec._s156, C<z>, C<1>, C<1>;
L_inst_exec._s166 .functor AND, L_inst_exec._s156, C<z>, C<1>, C<1>;
L_inst_exec._s167 .functor AND, L_inst_exec._s156, C<z>, C<1>, C<1>;
L_inst_exec._s169 .functor OR, L_inst_exec._s145, L_inst_exec._s160, C<0>, C<0>;
L_inst_exec._s170 .functor OR, L_inst_exec._s146, L_inst_exec._s161, C<0>, C<0>;
L_inst_exec._s171 .functor OR, L_inst_exec._s147, L_inst_exec._s162, C<0>, C<0>;
L_inst_exec._s172 .functor OR, L_inst_exec._s148, L_inst_exec._s163, C<0>, C<0>;
L_inst_exec._s173 .functor OR, L_inst_exec._s149, L_inst_exec._s164, C<0>, C<0>;
L_inst_exec._s174 .functor OR, L_inst_exec._s150, L_inst_exec._s165, C<0>, C<0>;
L_inst_exec._s175 .functor OR, L_inst_exec._s151, L_inst_exec._s166, C<0>, C<0>;
L_inst_exec._s176 .functor OR, L_inst_exec._s152, L_inst_exec._s167, C<0>, C<0>;
L_inst_exec._s183 .functor AND, L_inst_exec._s180, V_$008384B8[0], C<1>, C<1>;
L_inst_exec._s184 .functor AND, L_inst_exec._s180, V_$008384B8[1], C<1>, C<1>;
L_inst_exec._s185 .functor AND, L_inst_exec._s180, V_$008384B8[2], C<1>, C<1>;
L_inst_exec._s186 .functor AND, L_inst_exec._s180, V_$008384B8[3], C<1>, C<1>;
L_inst_exec._s187 .functor AND, L_inst_exec._s180, V_$008384B8[4], C<1>, C<1>;
L_inst_exec._s188 .functor AND, L_inst_exec._s180, V_$008384B8[5], C<1>, C<1>;
L_inst_exec._s189 .functor AND, L_inst_exec._s180, V_$008384B8[6], C<1>, C<1>;
L_inst_exec._s190 .functor AND, L_inst_exec._s180, V_$008384B8[7], C<1>, C<1>;
L_inst_exec._s192 .functor OR, L_inst_exec._s169, L_inst_exec._s183, C<0>, C<0>;
L_inst_exec._s193 .functor OR, L_inst_exec._s170, L_inst_exec._s184, C<0>, C<0>;
L_inst_exec._s194 .functor OR, L_inst_exec._s171, L_inst_exec._s185, C<0>, C<0>;
L_inst_exec._s195 .functor OR, L_inst_exec._s172, L_inst_exec._s186, C<0>, C<0>;
L_inst_exec._s196 .functor OR, L_inst_exec._s173, L_inst_exec._s187, C<0>, C<0>;
L_inst_exec._s197 .functor OR, L_inst_exec._s174, L_inst_exec._s188, C<0>, C<0>;
L_inst_exec._s198 .functor OR, L_inst_exec._s175, L_inst_exec._s189, C<0>, C<0>;
L_inst_exec._s199 .functor OR, L_inst_exec._s176, L_inst_exec._s190, C<0>, C<0>;
L_inst_exec._s205 .functor AND, L_inst_exec._s202, V_$0076D350[0], C<1>, C<1>;
L_inst_exec._s206 .functor AND, L_inst_exec._s202, V_$0076D350[1], C<1>, C<1>;
L_inst_exec._s207 .functor AND, L_inst_exec._s202, V_$0076D350[2], C<1>, C<1>;
L_inst_exec._s208 .functor AND, L_inst_exec._s202, V_$0076D350[3], C<1>, C<1>;
L_inst_exec._s209 .functor AND, L_inst_exec._s202, V_$0076D350[4], C<1>, C<1>;
L_inst_exec._s210 .functor AND, L_inst_exec._s202, V_$0076D350[5], C<1>, C<1>;
L_inst_exec._s211 .functor AND, L_inst_exec._s202, V_$0076D350[6], C<1>, C<1>;
L_inst_exec._s212 .functor AND, L_inst_exec._s202, V_$0076D350[7], C<1>, C<1>;
L_inst_exec._s218 .functor AND, L_inst_exec._s215, V_$00639CB8[0], C<1>, C<1>;
L_inst_exec._s219 .functor AND, L_inst_exec._s215, V_$00639CB8[1], C<1>, C<1>;
L_inst_exec._s220 .functor AND, L_inst_exec._s215, V_$00639CB8[2], C<1>, C<1>;
L_inst_exec._s221 .functor AND, L_inst_exec._s215, V_$00639CB8[3], C<1>, C<1>;
L_inst_exec._s222 .functor AND, L_inst_exec._s215, V_$00639CB8[4], C<1>, C<1>;
L_inst_exec._s223 .functor AND, L_inst_exec._s215, V_$00639CB8[5], C<1>, C<1>;
L_inst_exec._s224 .functor AND, L_inst_exec._s215, V_$00639CB8[6], C<1>, C<1>;
L_inst_exec._s225 .functor AND, L_inst_exec._s215, V_$00639CB8[7], C<1>, C<1>;
L_inst_exec._s227 .functor OR, L_inst_exec._s205, L_inst_exec._s218, C<0>, C<0>;
L_inst_exec._s228 .functor OR, L_inst_exec._s206, L_inst_exec._s219, C<0>, C<0>;
L_inst_exec._s229 .functor OR, L_inst_exec._s207, L_inst_exec._s220, C<0>, C<0>;
L_inst_exec._s230 .functor OR, L_inst_exec._s208, L_inst_exec._s221, C<0>, C<0>;
L_inst_exec._s231 .functor OR, L_inst_exec._s209, L_inst_exec._s222, C<0>, C<0>;
L_inst_exec._s232 .functor OR, L_inst_exec._s210, L_inst_exec._s223, C<0>, C<0>;
L_inst_exec._s233 .functor OR, L_inst_exec._s211, L_inst_exec._s224, C<0>, C<0>;
L_inst_exec._s234 .functor OR, L_inst_exec._s212, L_inst_exec._s225, C<0>, C<0>;
L_inst_exec._s240 .functor AND, L_inst_exec._s237, V_$0065BD38[0], C<1>, C<1>;
L_inst_exec._s241 .functor AND, L_inst_exec._s237, V_$0065BD38[1], C<1>, C<1>;
L_inst_exec._s242 .functor AND, L_inst_exec._s237, V_$0065BD38[2], C<1>, C<1>;
L_inst_exec._s243 .functor AND, L_inst_exec._s237, V_$0065BD38[3], C<1>, C<1>;
L_inst_exec._s244 .functor AND, L_inst_exec._s237, V_$0065BD38[4], C<1>, C<1>;
L_inst_exec._s245 .functor AND, L_inst_exec._s237, V_$0065BD38[5], C<1>, C<1>;
L_inst_exec._s246 .functor AND, L_inst_exec._s237, V_$0065BD38[6], C<1>, C<1>;
L_inst_exec._s247 .functor AND, L_inst_exec._s237, V_$0065BD38[7], C<1>, C<1>;
L_inst_exec._s249 .functor OR, L_inst_exec._s227, L_inst_exec._s240, C<0>, C<0>;
L_inst_exec._s250 .functor OR, L_inst_exec._s228, L_inst_exec._s241, C<0>, C<0>;
L_inst_exec._s251 .functor OR, L_inst_exec._s229, L_inst_exec._s242, C<0>, C<0>;
L_inst_exec._s252 .functor OR, L_inst_exec._s230, L_inst_exec._s243, C<0>, C<0>;
L_inst_exec._s253 .functor OR, L_inst_exec._s231, L_inst_exec._s244, C<0>, C<0>;
L_inst_exec._s254 .functor OR, L_inst_exec._s232, L_inst_exec._s245, C<0>, C<0>;
L_inst_exec._s255 .functor OR, L_inst_exec._s233, L_inst_exec._s246, C<0>, C<0>;
L_inst_exec._s256 .functor OR, L_inst_exec._s234, L_inst_exec._s247, C<0>, C<0>;
L_inst_exec._s262 .functor AND, L_inst_exec._s259, V_$00643940[0], C<1>, C<1>;
L_inst_exec._s263 .functor AND, L_inst_exec._s259, V_$00643940[1], C<1>, C<1>;
L_inst_exec._s264 .functor AND, L_inst_exec._s259, V_$00643940[2], C<1>, C<1>;
L_inst_exec._s265 .functor AND, L_inst_exec._s259, V_$00643940[3], C<1>, C<1>;
L_inst_exec._s266 .functor AND, L_inst_exec._s259, V_$00643940[4], C<1>, C<1>;
L_inst_exec._s267 .functor AND, L_inst_exec._s259, V_$00643940[5], C<1>, C<1>;
L_inst_exec._s268 .functor AND, L_inst_exec._s259, V_$00643940[6], C<1>, C<1>;
L_inst_exec._s269 .functor AND, L_inst_exec._s259, V_$00643940[7], C<1>, C<1>;
L_inst_exec._s271 .functor OR, L_inst_exec._s249, L_inst_exec._s262, C<0>, C<0>;
L_inst_exec._s272 .functor OR, L_inst_exec._s250, L_inst_exec._s263, C<0>, C<0>;
L_inst_exec._s273 .functor OR, L_inst_exec._s251, L_inst_exec._s264, C<0>, C<0>;
L_inst_exec._s274 .functor OR, L_inst_exec._s252, L_inst_exec._s265, C<0>, C<0>;
L_inst_exec._s275 .functor OR, L_inst_exec._s253, L_inst_exec._s266, C<0>, C<0>;
L_inst_exec._s276 .functor OR, L_inst_exec._s254, L_inst_exec._s267, C<0>, C<0>;
L_inst_exec._s277 .functor OR, L_inst_exec._s255, L_inst_exec._s268, C<0>, C<0>;
L_inst_exec._s278 .functor OR, L_inst_exec._s256, L_inst_exec._s269, C<0>, C<0>;
L_inst_exec._s284 .functor AND, L_inst_exec._s281, L_inst_exec._s5/0, C<1>, C<1>;
L_inst_exec._s285 .functor AND, L_inst_exec._s281, L_inst_exec._s5/1, C<1>, C<1>;
L_inst_exec._s286 .functor AND, L_inst_exec._s281, L_inst_exec._s5/2, C<1>, C<1>;
L_inst_exec._s287 .functor AND, L_inst_exec._s281, L_inst_exec._s5/3, C<1>, C<1>;
L_inst_exec._s288 .functor AND, L_inst_exec._s281, L_inst_exec._s5/4, C<1>, C<1>;
L_inst_exec._s289 .functor AND, L_inst_exec._s281, L_inst_exec._s5/5, C<1>, C<1>;
L_inst_exec._s290 .functor AND, L_inst_exec._s281, L_inst_exec._s5/6, C<1>, C<1>;
L_inst_exec._s291 .functor AND, L_inst_exec._s281, L_inst_exec._s5/7, C<1>, C<1>;
L_inst_exec._s293 .functor OR, L_inst_exec._s271, L_inst_exec._s284, C<0>, C<0>;
L_inst_exec._s294 .functor OR, L_inst_exec._s272, L_inst_exec._s285, C<0>, C<0>;
L_inst_exec._s295 .functor OR, L_inst_exec._s273, L_inst_exec._s286, C<0>, C<0>;
L_inst_exec._s296 .functor OR, L_inst_exec._s274, L_inst_exec._s287, C<0>, C<0>;
L_inst_exec._s297 .functor OR, L_inst_exec._s275, L_inst_exec._s288, C<0>, C<0>;
L_inst_exec._s298 .functor OR, L_inst_exec._s276, L_inst_exec._s289, C<0>, C<0>;
L_inst_exec._s299 .functor OR, L_inst_exec._s277, L_inst_exec._s290, C<0>, C<0>;
L_inst_exec._s300 .functor OR, L_inst_exec._s278, L_inst_exec._s291, C<0>, C<0>;
L_inst_exec._s306 .functor AND, L_inst_exec._s303, L_inst_exec._s11/0, C<1>, C<1>;
L_inst_exec._s307 .functor AND, L_inst_exec._s303, L_inst_exec._s11/1, C<1>, C<1>;
L_inst_exec._s308 .functor AND, L_inst_exec._s303, L_inst_exec._s11/2, C<1>, C<1>;
L_inst_exec._s309 .functor AND, L_inst_exec._s303, L_inst_exec._s11/3, C<1>, C<1>;
L_inst_exec._s310 .functor AND, L_inst_exec._s303, L_inst_exec._s11/4, C<1>, C<1>;
L_inst_exec._s311 .functor AND, L_inst_exec._s303, L_inst_exec._s11/5, C<1>, C<1>;
L_inst_exec._s312 .functor AND, L_inst_exec._s303, L_inst_exec._s11/6, C<1>, C<1>;
L_inst_exec._s313 .functor AND, L_inst_exec._s303, L_inst_exec._s11/7, C<1>, C<1>;
L_inst_exec._s315 .functor OR, L_inst_exec._s293, L_inst_exec._s306, C<0>, C<0>;
L_inst_exec._s316 .functor OR, L_inst_exec._s294, L_inst_exec._s307, C<0>, C<0>;
L_inst_exec._s317 .functor OR, L_inst_exec._s295, L_inst_exec._s308, C<0>, C<0>;
L_inst_exec._s318 .functor OR, L_inst_exec._s296, L_inst_exec._s309, C<0>, C<0>;
L_inst_exec._s319 .functor OR, L_inst_exec._s297, L_inst_exec._s310, C<0>, C<0>;
L_inst_exec._s320 .functor OR, L_inst_exec._s298, L_inst_exec._s311, C<0>, C<0>;
L_inst_exec._s321 .functor OR, L_inst_exec._s299, L_inst_exec._s312, C<0>, C<0>;
L_inst_exec._s322 .functor OR, L_inst_exec._s300, L_inst_exec._s313, C<0>, C<0>;
L_inst_exec._s329 .functor AND, L_inst_exec._s325, C<z>, C<1>, C<1>;
L_inst_exec._s330 .functor AND, L_inst_exec._s325, C<z>, C<1>, C<1>;
L_inst_exec._s331 .functor AND, L_inst_exec._s325, C<z>, C<1>, C<1>;
L_inst_exec._s332 .functor AND, L_inst_exec._s325, C<z>, C<1>, C<1>;
L_inst_exec._s333 .functor AND, L_inst_exec._s325, C<z>, C<1>, C<1>;
L_inst_exec._s334 .functor AND, L_inst_exec._s325, C<z>, C<1>, C<1>;
L_inst_exec._s335 .functor AND, L_inst_exec._s325, C<z>, C<1>, C<1>;
L_inst_exec._s336 .functor AND, L_inst_exec._s325, C<z>, C<1>, C<1>;
L_inst_exec._s338 .functor OR, L_inst_exec._s315, L_inst_exec._s329, C<0>, C<0>;
L_inst_exec._s339 .functor OR, L_inst_exec._s316, L_inst_exec._s330, C<0>, C<0>;
L_inst_exec._s340 .functor OR, L_inst_exec._s317, L_inst_exec._s331, C<0>, C<0>;
L_inst_exec._s341 .functor OR, L_inst_exec._s318, L_inst_exec._s332, C<0>, C<0>;
L_inst_exec._s342 .functor OR, L_inst_exec._s319, L_inst_exec._s333, C<0>, C<0>;
L_inst_exec._s343 .functor OR, L_inst_exec._s320, L_inst_exec._s334, C<0>, C<0>;
L_inst_exec._s344 .functor OR, L_inst_exec._s321, L_inst_exec._s335, C<0>, C<0>;
L_inst_exec._s345 .functor OR, L_inst_exec._s322, L_inst_exec._s336, C<0>, C<0>;
L_inst_exec._s351 .functor AND, L_inst_exec._s348, V_$008384B8[0], C<1>, C<1>;
L_inst_exec._s352 .functor AND, L_inst_exec._s348, V_$008384B8[1], C<1>, C<1>;
L_inst_exec._s353 .functor AND, L_inst_exec._s348, V_$008384B8[2], C<1>, C<1>;
L_inst_exec._s354 .functor AND, L_inst_exec._s348, V_$008384B8[3], C<1>, C<1>;
L_inst_exec._s355 .functor AND, L_inst_exec._s348, V_$008384B8[4], C<1>, C<1>;
L_inst_exec._s356 .functor AND, L_inst_exec._s348, V_$008384B8[5], C<1>, C<1>;
L_inst_exec._s357 .functor AND, L_inst_exec._s348, V_$008384B8[6], C<1>, C<1>;
L_inst_exec._s358 .functor AND, L_inst_exec._s348, V_$008384B8[7], C<1>, C<1>;
L_inst_exec._s360 .functor OR, L_inst_exec._s338, L_inst_exec._s351, C<0>, C<0>;
L_inst_exec._s361 .functor OR, L_inst_exec._s339, L_inst_exec._s352, C<0>, C<0>;
L_inst_exec._s362 .functor OR, L_inst_exec._s340, L_inst_exec._s353, C<0>, C<0>;
L_inst_exec._s363 .functor OR, L_inst_exec._s341, L_inst_exec._s354, C<0>, C<0>;
L_inst_exec._s364 .functor OR, L_inst_exec._s342, L_inst_exec._s355, C<0>, C<0>;
L_inst_exec._s365 .functor OR, L_inst_exec._s343, L_inst_exec._s356, C<0>, C<0>;
L_inst_exec._s366 .functor OR, L_inst_exec._s344, L_inst_exec._s357, C<0>, C<0>;
L_inst_exec._s367 .functor OR, L_inst_exec._s345, L_inst_exec._s358, C<0>, C<0>;
L_inst_exec._s376 .functor AND, L_inst_exec._s372, V_$00639CB8[0], C<1>, C<1>;
L_inst_exec._s377 .functor AND, L_inst_exec._s372, V_$00639CB8[1], C<1>, C<1>;
L_inst_exec._s378 .functor AND, L_inst_exec._s372, V_$00639CB8[2], C<1>, C<1>;
L_inst_exec._s379 .functor AND, L_inst_exec._s372, V_$00639CB8[3], C<1>, C<1>;
L_inst_exec._s380 .functor AND, L_inst_exec._s372, V_$00639CB8[4], C<1>, C<1>;
L_inst_exec._s381 .functor AND, L_inst_exec._s372, V_$00639CB8[5], C<1>, C<1>;
L_inst_exec._s382 .functor AND, L_inst_exec._s372, V_$00639CB8[6], C<1>, C<1>;
L_inst_exec._s383 .functor AND, L_inst_exec._s372, V_$00639CB8[7], C<1>, C<1>;
L_inst_exec._s384 .functor AND, L_inst_exec._s372, V_$0076D350[0], C<1>, C<1>;
L_inst_exec._s385 .functor AND, L_inst_exec._s372, V_$0076D350[1], C<1>, C<1>;
L_inst_exec._s386 .functor AND, L_inst_exec._s372, V_$0076D350[2], C<1>, C<1>;
L_inst_exec._s387 .functor AND, L_inst_exec._s372, V_$0076D350[3], C<1>, C<1>;
L_inst_exec._s388 .functor AND, L_inst_exec._s372, V_$0076D350[4], C<1>, C<1>;
L_inst_exec._s389 .functor AND, L_inst_exec._s372, V_$0076D350[5], C<1>, C<1>;
L_inst_exec._s390 .functor AND, L_inst_exec._s372, V_$0076D350[6], C<1>, C<1>;
L_inst_exec._s391 .functor AND, L_inst_exec._s372, V_$0076D350[7], C<1>, C<1>;
L_inst_exec._s400 .functor AND, L_inst_exec._s396, V_$00643940[0], C<1>, C<1>;
L_inst_exec._s401 .functor AND, L_inst_exec._s396, V_$00643940[1], C<1>, C<1>;
L_inst_exec._s402 .functor AND, L_inst_exec._s396, V_$00643940[2], C<1>, C<1>;
L_inst_exec._s403 .functor AND, L_inst_exec._s396, V_$00643940[3], C<1>, C<1>;
L_inst_exec._s404 .functor AND, L_inst_exec._s396, V_$00643940[4], C<1>, C<1>;
L_inst_exec._s405 .functor AND, L_inst_exec._s396, V_$00643940[5], C<1>, C<1>;
L_inst_exec._s406 .functor AND, L_inst_exec._s396, V_$00643940[6], C<1>, C<1>;
L_inst_exec._s407 .functor AND, L_inst_exec._s396, V_$00643940[7], C<1>, C<1>;
L_inst_exec._s408 .functor AND, L_inst_exec._s396, V_$0065BD38[0], C<1>, C<1>;
L_inst_exec._s409 .functor AND, L_inst_exec._s396, V_$0065BD38[1], C<1>, C<1>;
L_inst_exec._s410 .functor AND, L_inst_exec._s396, V_$0065BD38[2], C<1>, C<1>;
L_inst_exec._s411 .functor AND, L_inst_exec._s396, V_$0065BD38[3], C<1>, C<1>;
L_inst_exec._s412 .functor AND, L_inst_exec._s396, V_$0065BD38[4], C<1>, C<1>;
L_inst_exec._s413 .functor AND, L_inst_exec._s396, V_$0065BD38[5], C<1>, C<1>;
L_inst_exec._s414 .functor AND, L_inst_exec._s396, V_$0065BD38[6], C<1>, C<1>;
L_inst_exec._s415 .functor AND, L_inst_exec._s396, V_$0065BD38[7], C<1>, C<1>;
L_inst_exec._s417 .functor OR, L_inst_exec._s376, L_inst_exec._s400, C<0>, C<0>;
L_inst_exec._s418 .functor OR, L_inst_exec._s377, L_inst_exec._s401, C<0>, C<0>;
L_inst_exec._s419 .functor OR, L_inst_exec._s378, L_inst_exec._s402, C<0>, C<0>;
L_inst_exec._s420 .functor OR, L_inst_exec._s379, L_inst_exec._s403, C<0>, C<0>;
L_inst_exec._s421 .functor OR, L_inst_exec._s380, L_inst_exec._s404, C<0>, C<0>;
L_inst_exec._s422 .functor OR, L_inst_exec._s381, L_inst_exec._s405, C<0>, C<0>;
L_inst_exec._s423 .functor OR, L_inst_exec._s382, L_inst_exec._s406, C<0>, C<0>;
L_inst_exec._s424 .functor OR, L_inst_exec._s383, L_inst_exec._s407, C<0>, C<0>;
L_inst_exec._s425 .functor OR, L_inst_exec._s384, L_inst_exec._s408, C<0>, C<0>;
L_inst_exec._s426 .functor OR, L_inst_exec._s385, L_inst_exec._s409, C<0>, C<0>;
L_inst_exec._s427 .functor OR, L_inst_exec._s386, L_inst_exec._s410, C<0>, C<0>;
L_inst_exec._s428 .functor OR, L_inst_exec._s387, L_inst_exec._s411, C<0>, C<0>;
L_inst_exec._s429 .functor OR, L_inst_exec._s388, L_inst_exec._s412, C<0>, C<0>;
L_inst_exec._s430 .functor OR, L_inst_exec._s389, L_inst_exec._s413, C<0>, C<0>;
L_inst_exec._s431 .functor OR, L_inst_exec._s390, L_inst_exec._s414, C<0>, C<0>;
L_inst_exec._s432 .functor OR, L_inst_exec._s391, L_inst_exec._s415, C<0>, C<0>;
L_inst_exec._s442 .functor AND, L_inst_exec._s437, C<z>, C<1>, C<1>;
L_inst_exec._s459 .functor OR, L_inst_exec._s417, L_inst_exec._s442, C<0>, C<0>;
L_inst_exec._s482 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s483 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s484 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s485 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s486 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s487 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s488 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s489 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s490 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s491 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s492 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s493 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s494 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s495 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s496 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s497 .functor AND, L_inst_exec._s479, C<z>, C<1>, C<1>;
L_inst_exec._s499 .functor OR, L_inst_exec._s459, L_inst_exec._s482, C<0>, C<0>;
L_inst_exec._s500 .functor OR, L_inst_exec._s460, L_inst_exec._s483, C<0>, C<0>;
L_inst_exec._s501 .functor OR, L_inst_exec._s461, L_inst_exec._s484, C<0>, C<0>;
L_inst_exec._s502 .functor OR, L_inst_exec._s462, L_inst_exec._s485, C<0>, C<0>;
L_inst_exec._s503 .functor OR, L_inst_exec._s463, L_inst_exec._s486, C<0>, C<0>;
L_inst_exec._s504 .functor OR, L_inst_exec._s464, L_inst_exec._s487, C<0>, C<0>;
L_inst_exec._s505 .functor OR, L_inst_exec._s465, L_inst_exec._s488, C<0>, C<0>;
L_inst_exec._s506 .functor OR, L_inst_exec._s466, L_inst_exec._s489, C<0>, C<0>;
L_inst_exec._s507 .functor OR, L_inst_exec._s467, L_inst_exec._s490, C<0>, C<0>;
L_inst_exec._s508 .functor OR, L_inst_exec._s468, L_inst_exec._s491, C<0>, C<0>;
L_inst_exec._s509 .functor OR, L_inst_exec._s469, L_inst_exec._s492, C<0>, C<0>;
L_inst_exec._s510 .functor OR, L_inst_exec._s470, L_inst_exec._s493, C<0>, C<0>;
L_inst_exec._s511 .functor OR, L_inst_exec._s471, L_inst_exec._s494, C<0>, C<0>;
L_inst_exec._s512 .functor OR, L_inst_exec._s472, L_inst_exec._s495, C<0>, C<0>;
L_inst_exec._s513 .functor OR, L_inst_exec._s473, L_inst_exec._s496, C<0>, C<0>;
L_inst_exec._s514 .functor OR, L_inst_exec._s474, L_inst_exec._s497, C<0>, C<0>;
L_inst_exec._s549 .functor OR, L_inst_exec._s542, L_inst_exec._s547, C<0>, C<0>;
L_inst_exec._s557 .functor AND, L_inst_exec._s554, C<z>, C<1>, C<1>;
L_inst_exec._s559 .functor OR, L_inst_exec._s549, L_inst_exec._s557, C<0>, C<0>;
L_inst_exec._s561 .functor OR, L_inst_exec._s559, C<z>, C<0>, C<0>;
L_inst_exec._s595 .functor NOT, L_inst_exec._s192, C<0>, C<0>, C<0>;
L_inst_exec._s612 .functor AND, L_inst_exec._s603, L_inst_exec._s192, C<1>, C<1>;
L_inst_exec._s613 .functor AND, L_inst_exec._s603, L_inst_exec._s576[0], C<1>, C<1>;
L_inst_exec._s614 .functor AND, L_inst_exec._s603, L_inst_exec._s576[1], C<1>, C<1>;
L_inst_exec._s615 .functor AND, L_inst_exec._s603, L_inst_exec._s576[2], C<1>, C<1>;
L_inst_exec._s616 .functor AND, L_inst_exec._s603, L_inst_exec._s576[3], C<1>, C<1>;
L_inst_exec._s617 .functor AND, L_inst_exec._s603, L_inst_exec._s592[0], C<1>, C<1>;
L_inst_exec._s618 .functor AND, L_inst_exec._s603, L_inst_exec._s592[1], C<1>, C<1>;
L_inst_exec._s619 .functor AND, L_inst_exec._s603, L_inst_exec._s592[2], C<1>, C<1>;
L_inst_exec._s620 .functor AND, L_inst_exec._s603, L_inst_exec._s592[3], C<1>, C<1>;
L_inst_exec._s621 .functor AND, L_inst_exec._s603, L_inst_exec._s576[4], C<1>, C<1>;
L_inst_exec._s622 .functor AND, L_inst_exec._s603, L_inst_exec._s592[4], C<1>, C<1>;
L_inst_exec._s635 .functor AND, L_inst_exec._s629, V_$00841610[0], C<1>, C<1>;
L_inst_exec._s636 .functor AND, L_inst_exec._s629, L_inst_exec._s192, C<1>, C<1>;
L_inst_exec._s637 .functor AND, L_inst_exec._s629, L_inst_exec._s576[0], C<1>, C<1>;
L_inst_exec._s638 .functor AND, L_inst_exec._s629, L_inst_exec._s576[1], C<1>, C<1>;
L_inst_exec._s639 .functor AND, L_inst_exec._s629, L_inst_exec._s576[2], C<1>, C<1>;
L_inst_exec._s640 .functor AND, L_inst_exec._s629, L_inst_exec._s576[3], C<1>, C<1>;
L_inst_exec._s641 .functor AND, L_inst_exec._s629, L_inst_exec._s592[0], C<1>, C<1>;
L_inst_exec._s642 .functor AND, L_inst_exec._s629, L_inst_exec._s592[1], C<1>, C<1>;
L_inst_exec._s643 .functor AND, L_inst_exec._s629, L_inst_exec._s592[2], C<1>, C<1>;
L_inst_exec._s644 .functor AND, L_inst_exec._s629, L_inst_exec._s592[3], C<1>, C<1>;
L_inst_exec._s645 .functor AND, L_inst_exec._s629, L_inst_exec._s576[4], C<1>, C<1>;
L_inst_exec._s646 .functor AND, L_inst_exec._s629, L_inst_exec._s592[4], C<1>, C<1>;
L_inst_exec._s651 .functor OR, L_inst_exec._s612, L_inst_exec._s636, C<0>, C<0>;
L_inst_exec._s652 .functor OR, L_inst_exec._s613, L_inst_exec._s637, C<0>, C<0>;
L_inst_exec._s653 .functor OR, L_inst_exec._s614, L_inst_exec._s638, C<0>, C<0>;
L_inst_exec._s654 .functor OR, L_inst_exec._s615, L_inst_exec._s639, C<0>, C<0>;
L_inst_exec._s655 .functor OR, L_inst_exec._s616, L_inst_exec._s640, C<0>, C<0>;
L_inst_exec._s656 .functor OR, L_inst_exec._s617, L_inst_exec._s641, C<0>, C<0>;
L_inst_exec._s657 .functor OR, L_inst_exec._s618, L_inst_exec._s642, C<0>, C<0>;
L_inst_exec._s658 .functor OR, L_inst_exec._s619, L_inst_exec._s643, C<0>, C<0>;
L_inst_exec._s659 .functor OR, L_inst_exec._s620, L_inst_exec._s644, C<0>, C<0>;
L_inst_exec._s660 .functor OR, L_inst_exec._s621, L_inst_exec._s645, C<0>, C<0>;
L_inst_exec._s661 .functor OR, L_inst_exec._s622, L_inst_exec._s646, C<0>, C<0>;
L_inst_exec._s671 .functor NOT, L_inst_exec._s192, C<0>, C<0>, C<0>;
L_inst_exec._s679 .functor AND, L_inst_exec._s668, L_inst_exec._s671, C<1>, C<1>;
L_inst_exec._s680 .functor AND, L_inst_exec._s668, L_inst_exec._s576[0], C<1>, C<1>;
L_inst_exec._s681 .functor AND, L_inst_exec._s668, L_inst_exec._s576[1], C<1>, C<1>;
L_inst_exec._s682 .functor AND, L_inst_exec._s668, L_inst_exec._s576[2], C<1>, C<1>;
L_inst_exec._s683 .functor AND, L_inst_exec._s668, L_inst_exec._s576[3], C<1>, C<1>;
L_inst_exec._s684 .functor AND, L_inst_exec._s668, L_inst_exec._s592[0], C<1>, C<1>;
L_inst_exec._s685 .functor AND, L_inst_exec._s668, L_inst_exec._s592[1], C<1>, C<1>;
L_inst_exec._s686 .functor AND, L_inst_exec._s668, L_inst_exec._s592[2], C<1>, C<1>;
L_inst_exec._s687 .functor AND, L_inst_exec._s668, L_inst_exec._s592[3], C<1>, C<1>;
L_inst_exec._s688 .functor AND, L_inst_exec._s668, L_inst_exec._s576[4], C<1>, C<1>;
L_inst_exec._s689 .functor AND, L_inst_exec._s668, L_inst_exec._s592[4], C<1>, C<1>;
L_inst_exec._s693 .functor OR, L_inst_exec._s650, L_inst_exec._s678, C<0>, C<0>;
L_inst_exec._s694 .functor OR, L_inst_exec._s651, L_inst_exec._s679, C<0>, C<0>;
L_inst_exec._s695 .functor OR, L_inst_exec._s652, L_inst_exec._s680, C<0>, C<0>;
L_inst_exec._s696 .functor OR, L_inst_exec._s653, L_inst_exec._s681, C<0>, C<0>;
L_inst_exec._s697 .functor OR, L_inst_exec._s654, L_inst_exec._s682, C<0>, C<0>;
L_inst_exec._s698 .functor OR, L_inst_exec._s655, L_inst_exec._s683, C<0>, C<0>;
L_inst_exec._s699 .functor OR, L_inst_exec._s656, L_inst_exec._s684, C<0>, C<0>;
L_inst_exec._s700 .functor OR, L_inst_exec._s657, L_inst_exec._s685, C<0>, C<0>;
L_inst_exec._s701 .functor OR, L_inst_exec._s658, L_inst_exec._s686, C<0>, C<0>;
L_inst_exec._s702 .functor OR, L_inst_exec._s659, L_inst_exec._s687, C<0>, C<0>;
L_inst_exec._s703 .functor OR, L_inst_exec._s660, L_inst_exec._s688, C<0>, C<0>;
L_inst_exec._s704 .functor OR, L_inst_exec._s661, L_inst_exec._s689, C<0>, C<0>;
L_inst_exec._s714 .functor NOT, L_inst_exec._s192, C<0>, C<0>, C<0>;
L_inst_exec._s716 .functor NOT, V_$00841610[0], C<0>, C<0>, C<0>;
L_inst_exec._s721 .functor AND, L_inst_exec._s711, L_inst_exec._s716, C<1>, C<1>;
L_inst_exec._s722 .functor AND, L_inst_exec._s711, L_inst_exec._s714, C<1>, C<1>;
L_inst_exec._s723 .functor AND, L_inst_exec._s711, L_inst_exec._s576[0], C<1>, C<1>;
L_inst_exec._s724 .functor AND, L_inst_exec._s711, L_inst_exec._s576[1], C<1>, C<1>;
L_inst_exec._s725 .functor AND, L_inst_exec._s711, L_inst_exec._s576[2], C<1>, C<1>;
L_inst_exec._s726 .functor AND, L_inst_exec._s711, L_inst_exec._s576[3], C<1>, C<1>;
L_inst_exec._s727 .functor AND, L_inst_exec._s711, L_inst_exec._s592[0], C<1>, C<1>;
L_inst_exec._s728 .functor AND, L_inst_exec._s711, L_inst_exec._s592[1], C<1>, C<1>;
L_inst_exec._s729 .functor AND, L_inst_exec._s711, L_inst_exec._s592[2], C<1>, C<1>;
L_inst_exec._s730 .functor AND, L_inst_exec._s711, L_inst_exec._s592[3], C<1>, C<1>;
L_inst_exec._s731 .functor AND, L_inst_exec._s711, L_inst_exec._s576[4], C<1>, C<1>;
L_inst_exec._s732 .functor AND, L_inst_exec._s711, L_inst_exec._s592[4], C<1>, C<1>;
L_inst_exec._s736 .functor OR, L_inst_exec._s693, L_inst_exec._s721, C<0>, C<0>;
L_inst_exec._s737 .functor OR, L_inst_exec._s694, L_inst_exec._s722, C<0>, C<0>;
L_inst_exec._s738 .functor OR, L_inst_exec._s695, L_inst_exec._s723, C<0>, C<0>;
L_inst_exec._s739 .functor OR, L_inst_exec._s696, L_inst_exec._s724, C<0>, C<0>;
L_inst_exec._s740 .functor OR, L_inst_exec._s697, L_inst_exec._s725, C<0>, C<0>;
L_inst_exec._s741 .functor OR, L_inst_exec._s698, L_inst_exec._s726, C<0>, C<0>;
L_inst_exec._s742 .functor OR, L_inst_exec._s699, L_inst_exec._s727, C<0>, C<0>;
L_inst_exec._s743 .functor OR, L_inst_exec._s700, L_inst_exec._s728, C<0>, C<0>;
L_inst_exec._s744 .functor OR, L_inst_exec._s701, L_inst_exec._s729, C<0>, C<0>;
L_inst_exec._s745 .functor OR, L_inst_exec._s702, L_inst_exec._s730, C<0>, C<0>;
L_inst_exec._s746 .functor OR, L_inst_exec._s703, L_inst_exec._s731, C<0>, C<0>;
L_inst_exec._s747 .functor OR, L_inst_exec._s704, L_inst_exec._s732, C<0>, C<0>;
L_inst_exec._s761 .functor AND, V_$008384B8[0], C<z>, C<1>, C<1>;
L_inst_exec._s762 .functor AND, V_$008384B8[1], C<z>, C<1>, C<1>;
L_inst_exec._s763 .functor AND, V_$008384B8[2], C<z>, C<1>, C<1>;
L_inst_exec._s764 .functor AND, V_$008384B8[3], C<z>, C<1>, C<1>;
L_inst_exec._s765 .functor AND, V_$008384B8[4], C<z>, C<1>, C<1>;
L_inst_exec._s766 .functor AND, V_$008384B8[5], C<z>, C<1>, C<1>;
L_inst_exec._s767 .functor AND, V_$008384B8[6], C<z>, C<1>, C<1>;
L_inst_exec._s768 .functor AND, V_$008384B8[7], C<z>, C<1>, C<1>;
L_inst_exec._s776 .functor AND, L_inst_exec._s754, L_inst_exec._s192, C<1>, C<1>;
L_inst_exec._s777 .functor AND, L_inst_exec._s754, L_inst_exec._s761, C<1>, C<1>;
L_inst_exec._s778 .functor AND, L_inst_exec._s754, L_inst_exec._s762, C<1>, C<1>;
L_inst_exec._s779 .functor AND, L_inst_exec._s754, L_inst_exec._s763, C<1>, C<1>;
L_inst_exec._s780 .functor AND, L_inst_exec._s754, L_inst_exec._s764, C<1>, C<1>;
L_inst_exec._s781 .functor AND, L_inst_exec._s754, L_inst_exec._s765, C<1>, C<1>;
L_inst_exec._s782 .functor AND, L_inst_exec._s754, L_inst_exec._s766, C<1>, C<1>;
L_inst_exec._s783 .functor AND, L_inst_exec._s754, L_inst_exec._s767, C<1>, C<1>;
L_inst_exec._s784 .functor AND, L_inst_exec._s754, L_inst_exec._s768, C<1>, C<1>;
L_inst_exec._s791 .functor OR, L_inst_exec._s737, L_inst_exec._s776, C<0>, C<0>;
L_inst_exec._s792 .functor OR, L_inst_exec._s738, L_inst_exec._s777, C<0>, C<0>;
L_inst_exec._s793 .functor OR, L_inst_exec._s739, L_inst_exec._s778, C<0>, C<0>;
L_inst_exec._s794 .functor OR, L_inst_exec._s740, L_inst_exec._s779, C<0>, C<0>;
L_inst_exec._s795 .functor OR, L_inst_exec._s741, L_inst_exec._s780, C<0>, C<0>;
L_inst_exec._s796 .functor OR, L_inst_exec._s742, L_inst_exec._s781, C<0>, C<0>;
L_inst_exec._s797 .functor OR, L_inst_exec._s743, L_inst_exec._s782, C<0>, C<0>;
L_inst_exec._s798 .functor OR, L_inst_exec._s744, L_inst_exec._s783, C<0>, C<0>;
L_inst_exec._s799 .functor OR, L_inst_exec._s745, L_inst_exec._s784, C<0>, C<0>;
L_inst_exec._s800 .functor OR, L_inst_exec._s746, L_inst_exec._s785, C<0>, C<0>;
L_inst_exec._s815 .functor XOR, V_$008384B8[0], C<z>, C<0>, C<0>;
L_inst_exec._s816 .functor XOR, V_$008384B8[1], C<z>, C<0>, C<0>;
L_inst_exec._s817 .functor XOR, V_$008384B8[2], C<z>, C<0>, C<0>;
L_inst_exec._s818 .functor XOR, V_$008384B8[3], C<z>, C<0>, C<0>;
L_inst_exec._s819 .functor XOR, V_$008384B8[4], C<z>, C<0>, C<0>;
L_inst_exec._s820 .functor XOR, V_$008384B8[5], C<z>, C<0>, C<0>;
L_inst_exec._s821 .functor XOR, V_$008384B8[6], C<z>, C<0>, C<0>;
L_inst_exec._s822 .functor XOR, V_$008384B8[7], C<z>, C<0>, C<0>;
L_inst_exec._s830 .functor AND, L_inst_exec._s808, L_inst_exec._s192, C<1>, C<1>;
L_inst_exec._s831 .functor AND, L_inst_exec._s808, L_inst_exec._s815, C<1>, C<1>;
L_inst_exec._s832 .functor AND, L_inst_exec._s808, L_inst_exec._s816, C<1>, C<1>;
L_inst_exec._s833 .functor AND, L_inst_exec._s808, L_inst_exec._s817, C<1>, C<1>;
L_inst_exec._s834 .functor AND, L_inst_exec._s808, L_inst_exec._s818, C<1>, C<1>;
L_inst_exec._s835 .functor AND, L_inst_exec._s808, L_inst_exec._s819, C<1>, C<1>;
L_inst_exec._s836 .functor AND, L_inst_exec._s808, L_inst_exec._s820, C<1>, C<1>;
L_inst_exec._s837 .functor AND, L_inst_exec._s808, L_inst_exec._s821, C<1>, C<1>;
L_inst_exec._s838 .functor AND, L_inst_exec._s808, L_inst_exec._s822, C<1>, C<1>;
L_inst_exec._s845 .functor OR, L_inst_exec._s791, L_inst_exec._s830, C<0>, C<0>;
L_inst_exec._s846 .functor OR, L_inst_exec._s792, L_inst_exec._s831, C<0>, C<0>;
L_inst_exec._s847 .functor OR, L_inst_exec._s793, L_inst_exec._s832, C<0>, C<0>;
L_inst_exec._s848 .functor OR, L_inst_exec._s794, L_inst_exec._s833, C<0>, C<0>;
L_inst_exec._s849 .functor OR, L_inst_exec._s795, L_inst_exec._s834, C<0>, C<0>;
L_inst_exec._s850 .functor OR, L_inst_exec._s796, L_inst_exec._s835, C<0>, C<0>;
L_inst_exec._s851 .functor OR, L_inst_exec._s797, L_inst_exec._s836, C<0>, C<0>;
L_inst_exec._s852 .functor OR, L_inst_exec._s798, L_inst_exec._s837, C<0>, C<0>;
L_inst_exec._s853 .functor OR, L_inst_exec._s799, L_inst_exec._s838, C<0>, C<0>;
L_inst_exec._s869 .functor OR, V_$008384B8[0], C<z>, C<0>, C<0>;
L_inst_exec._s870 .functor OR, V_$008384B8[1], C<z>, C<0>, C<0>;
L_inst_exec._s871 .functor OR, V_$008384B8[2], C<z>, C<0>, C<0>;
L_inst_exec._s872 .functor OR, V_$008384B8[3], C<z>, C<0>, C<0>;
L_inst_exec._s873 .functor OR, V_$008384B8[4], C<z>, C<0>, C<0>;
L_inst_exec._s874 .functor OR, V_$008384B8[5], C<z>, C<0>, C<0>;
L_inst_exec._s875 .functor OR, V_$008384B8[6], C<z>, C<0>, C<0>;
L_inst_exec._s876 .functor OR, V_$008384B8[7], C<z>, C<0>, C<0>;
L_inst_exec._s884 .functor AND, L_inst_exec._s862, L_inst_exec._s192, C<1>, C<1>;
L_inst_exec._s885 .functor AND, L_inst_exec._s862, L_inst_exec._s869, C<1>, C<1>;
L_inst_exec._s886 .functor AND, L_inst_exec._s862, L_inst_exec._s870, C<1>, C<1>;
L_inst_exec._s887 .functor AND, L_inst_exec._s862, L_inst_exec._s871, C<1>, C<1>;
L_inst_exec._s888 .functor AND, L_inst_exec._s862, L_inst_exec._s872, C<1>, C<1>;
L_inst_exec._s889 .functor AND, L_inst_exec._s862, L_inst_exec._s873, C<1>, C<1>;
L_inst_exec._s890 .functor AND, L_inst_exec._s862, L_inst_exec._s874, C<1>, C<1>;
L_inst_exec._s891 .functor AND, L_inst_exec._s862, L_inst_exec._s875, C<1>, C<1>;
L_inst_exec._s892 .functor AND, L_inst_exec._s862, L_inst_exec._s876, C<1>, C<1>;
L_inst_exec._s899 .functor OR, L_inst_exec._s845, L_inst_exec._s884, C<0>, C<0>;
L_inst_exec._s900 .functor OR, L_inst_exec._s846, L_inst_exec._s885, C<0>, C<0>;
L_inst_exec._s901 .functor OR, L_inst_exec._s847, L_inst_exec._s886, C<0>, C<0>;
L_inst_exec._s902 .functor OR, L_inst_exec._s848, L_inst_exec._s887, C<0>, C<0>;
L_inst_exec._s903 .functor OR, L_inst_exec._s849, L_inst_exec._s888, C<0>, C<0>;
L_inst_exec._s904 .functor OR, L_inst_exec._s850, L_inst_exec._s889, C<0>, C<0>;
L_inst_exec._s905 .functor OR, L_inst_exec._s851, L_inst_exec._s890, C<0>, C<0>;
L_inst_exec._s906 .functor OR, L_inst_exec._s852, L_inst_exec._s891, C<0>, C<0>;
L_inst_exec._s907 .functor OR, L_inst_exec._s853, L_inst_exec._s892, C<0>, C<0>;
L_inst_exec._s925 .functor AND, L_inst_exec._s916, L_inst_exec._s192, C<1>, C<1>;
L_inst_exec._s926 .functor AND, L_inst_exec._s916, L_inst_exec._s576[0], C<1>, C<1>;
L_inst_exec._s927 .functor AND, L_inst_exec._s916, L_inst_exec._s576[1], C<1>, C<1>;
L_inst_exec._s928 .functor AND, L_inst_exec._s916, L_inst_exec._s576[2], C<1>, C<1>;
L_inst_exec._s929 .functor AND, L_inst_exec._s916, L_inst_exec._s576[3], C<1>, C<1>;
L_inst_exec._s930 .functor AND, L_inst_exec._s916, L_inst_exec._s592[0], C<1>, C<1>;
L_inst_exec._s931 .functor AND, L_inst_exec._s916, L_inst_exec._s592[1], C<1>, C<1>;
L_inst_exec._s932 .functor AND, L_inst_exec._s916, L_inst_exec._s592[2], C<1>, C<1>;
L_inst_exec._s933 .functor AND, L_inst_exec._s916, L_inst_exec._s592[3], C<1>, C<1>;
L_inst_exec._s934 .functor AND, L_inst_exec._s916, L_inst_exec._s576[4], C<1>, C<1>;
L_inst_exec._s935 .functor AND, L_inst_exec._s916, L_inst_exec._s592[4], C<1>, C<1>;
L_inst_exec._s939 .functor OR, L_inst_exec._s898, L_inst_exec._s924, C<0>, C<0>;
L_inst_exec._s940 .functor OR, L_inst_exec._s899, L_inst_exec._s925, C<0>, C<0>;
L_inst_exec._s941 .functor OR, L_inst_exec._s900, L_inst_exec._s926, C<0>, C<0>;
L_inst_exec._s942 .functor OR, L_inst_exec._s901, L_inst_exec._s927, C<0>, C<0>;
L_inst_exec._s943 .functor OR, L_inst_exec._s902, L_inst_exec._s928, C<0>, C<0>;
L_inst_exec._s944 .functor OR, L_inst_exec._s903, L_inst_exec._s929, C<0>, C<0>;
L_inst_exec._s945 .functor OR, L_inst_exec._s904, L_inst_exec._s930, C<0>, C<0>;
L_inst_exec._s946 .functor OR, L_inst_exec._s905, L_inst_exec._s931, C<0>, C<0>;
L_inst_exec._s947 .functor OR, L_inst_exec._s906, L_inst_exec._s932, C<0>, C<0>;
L_inst_exec._s948 .functor OR, L_inst_exec._s907, L_inst_exec._s933, C<0>, C<0>;
L_inst_exec._s949 .functor OR, L_inst_exec._s908, L_inst_exec._s934, C<0>, C<0>;
L_inst_exec._s950 .functor OR, L_inst_exec._s909, L_inst_exec._s935, C<0>, C<0>;
L_inst_exec._s960 .functor NOT, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s962 .functor AND, L_inst_exec._s957, L_inst_exec._s960, C<1>, C<1>;
L_inst_exec._s971 .functor AND, L_inst_exec._s962, L_inst_exec._s360, C<1>, C<1>;
L_inst_exec._s972 .functor AND, L_inst_exec._s962, L_inst_exec._s576[0], C<1>, C<1>;
L_inst_exec._s973 .functor AND, L_inst_exec._s962, L_inst_exec._s576[1], C<1>, C<1>;
L_inst_exec._s974 .functor AND, L_inst_exec._s962, L_inst_exec._s576[2], C<1>, C<1>;
L_inst_exec._s975 .functor AND, L_inst_exec._s962, L_inst_exec._s576[3], C<1>, C<1>;
L_inst_exec._s976 .functor AND, L_inst_exec._s962, L_inst_exec._s592[0], C<1>, C<1>;
L_inst_exec._s977 .functor AND, L_inst_exec._s962, L_inst_exec._s592[1], C<1>, C<1>;
L_inst_exec._s978 .functor AND, L_inst_exec._s962, L_inst_exec._s592[2], C<1>, C<1>;
L_inst_exec._s979 .functor AND, L_inst_exec._s962, L_inst_exec._s592[3], C<1>, C<1>;
L_inst_exec._s980 .functor AND, L_inst_exec._s962, L_inst_exec._s576[4], C<1>, C<1>;
L_inst_exec._s981 .functor AND, L_inst_exec._s962, V_$00841610[0], C<1>, C<1>;
L_inst_exec._s985 .functor OR, L_inst_exec._s939, L_inst_exec._s970, C<0>, C<0>;
L_inst_exec._s986 .functor OR, L_inst_exec._s940, L_inst_exec._s971, C<0>, C<0>;
L_inst_exec._s987 .functor OR, L_inst_exec._s941, L_inst_exec._s972, C<0>, C<0>;
L_inst_exec._s988 .functor OR, L_inst_exec._s942, L_inst_exec._s973, C<0>, C<0>;
L_inst_exec._s989 .functor OR, L_inst_exec._s943, L_inst_exec._s974, C<0>, C<0>;
L_inst_exec._s990 .functor OR, L_inst_exec._s944, L_inst_exec._s975, C<0>, C<0>;
L_inst_exec._s991 .functor OR, L_inst_exec._s945, L_inst_exec._s976, C<0>, C<0>;
L_inst_exec._s992 .functor OR, L_inst_exec._s946, L_inst_exec._s977, C<0>, C<0>;
L_inst_exec._s993 .functor OR, L_inst_exec._s947, L_inst_exec._s978, C<0>, C<0>;
L_inst_exec._s994 .functor OR, L_inst_exec._s948, L_inst_exec._s979, C<0>, C<0>;
L_inst_exec._s995 .functor OR, L_inst_exec._s949, L_inst_exec._s980, C<0>, C<0>;
L_inst_exec._s996 .functor OR, L_inst_exec._s950, L_inst_exec._s981, C<0>, C<0>;
L_inst_exec._s1006 .functor AND, L_inst_exec._s1003, C<z>, C<1>, C<1>;
L_inst_exec._s1015 .functor AND, L_inst_exec._s1006, L_inst_exec._s360, C<1>, C<1>;
L_inst_exec._s1016 .functor AND, L_inst_exec._s1006, L_inst_exec._s576[0], C<1>, C<1>;
L_inst_exec._s1017 .functor AND, L_inst_exec._s1006, L_inst_exec._s576[1], C<1>, C<1>;
L_inst_exec._s1018 .functor AND, L_inst_exec._s1006, L_inst_exec._s576[2], C<1>, C<1>;
L_inst_exec._s1019 .functor AND, L_inst_exec._s1006, L_inst_exec._s576[3], C<1>, C<1>;
L_inst_exec._s1020 .functor AND, L_inst_exec._s1006, L_inst_exec._s592[0], C<1>, C<1>;
L_inst_exec._s1021 .functor AND, L_inst_exec._s1006, L_inst_exec._s592[1], C<1>, C<1>;
L_inst_exec._s1022 .functor AND, L_inst_exec._s1006, L_inst_exec._s592[2], C<1>, C<1>;
L_inst_exec._s1023 .functor AND, L_inst_exec._s1006, L_inst_exec._s592[3], C<1>, C<1>;
L_inst_exec._s1024 .functor AND, L_inst_exec._s1006, L_inst_exec._s576[4], C<1>, C<1>;
L_inst_exec._s1025 .functor AND, L_inst_exec._s1006, V_$00841610[0], C<1>, C<1>;
L_inst_exec._s1030 .functor OR, L_inst_exec._s986, L_inst_exec._s1015, C<0>, C<0>;
L_inst_exec._s1031 .functor OR, L_inst_exec._s987, L_inst_exec._s1016, C<0>, C<0>;
L_inst_exec._s1032 .functor OR, L_inst_exec._s988, L_inst_exec._s1017, C<0>, C<0>;
L_inst_exec._s1033 .functor OR, L_inst_exec._s989, L_inst_exec._s1018, C<0>, C<0>;
L_inst_exec._s1034 .functor OR, L_inst_exec._s990, L_inst_exec._s1019, C<0>, C<0>;
L_inst_exec._s1035 .functor OR, L_inst_exec._s991, L_inst_exec._s1020, C<0>, C<0>;
L_inst_exec._s1036 .functor OR, L_inst_exec._s992, L_inst_exec._s1021, C<0>, C<0>;
L_inst_exec._s1037 .functor OR, L_inst_exec._s993, L_inst_exec._s1022, C<0>, C<0>;
L_inst_exec._s1038 .functor OR, L_inst_exec._s994, L_inst_exec._s1023, C<0>, C<0>;
L_inst_exec._s1039 .functor OR, L_inst_exec._s995, L_inst_exec._s1024, C<0>, C<0>;
L_inst_exec._s1040 .functor OR, L_inst_exec._s996, L_inst_exec._s1025, C<0>, C<0>;
L_inst_exec._s1050 .functor NOT, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1058 .functor AND, L_inst_exec._s1047, L_inst_exec._s1050, C<1>, C<1>;
L_inst_exec._s1059 .functor AND, L_inst_exec._s1047, L_inst_exec._s576[0], C<1>, C<1>;
L_inst_exec._s1060 .functor AND, L_inst_exec._s1047, L_inst_exec._s576[1], C<1>, C<1>;
L_inst_exec._s1061 .functor AND, L_inst_exec._s1047, L_inst_exec._s576[2], C<1>, C<1>;
L_inst_exec._s1062 .functor AND, L_inst_exec._s1047, L_inst_exec._s576[3], C<1>, C<1>;
L_inst_exec._s1063 .functor AND, L_inst_exec._s1047, L_inst_exec._s592[0], C<1>, C<1>;
L_inst_exec._s1064 .functor AND, L_inst_exec._s1047, L_inst_exec._s592[1], C<1>, C<1>;
L_inst_exec._s1065 .functor AND, L_inst_exec._s1047, L_inst_exec._s592[2], C<1>, C<1>;
L_inst_exec._s1066 .functor AND, L_inst_exec._s1047, L_inst_exec._s592[3], C<1>, C<1>;
L_inst_exec._s1067 .functor AND, L_inst_exec._s1047, L_inst_exec._s576[4], C<1>, C<1>;
L_inst_exec._s1068 .functor AND, L_inst_exec._s1047, L_inst_exec._s592[4], C<1>, C<1>;
L_inst_exec._s1072 .functor OR, L_inst_exec._s1029, L_inst_exec._s1057, C<0>, C<0>;
L_inst_exec._s1073 .functor OR, L_inst_exec._s1030, L_inst_exec._s1058, C<0>, C<0>;
L_inst_exec._s1074 .functor OR, L_inst_exec._s1031, L_inst_exec._s1059, C<0>, C<0>;
L_inst_exec._s1075 .functor OR, L_inst_exec._s1032, L_inst_exec._s1060, C<0>, C<0>;
L_inst_exec._s1076 .functor OR, L_inst_exec._s1033, L_inst_exec._s1061, C<0>, C<0>;
L_inst_exec._s1077 .functor OR, L_inst_exec._s1034, L_inst_exec._s1062, C<0>, C<0>;
L_inst_exec._s1078 .functor OR, L_inst_exec._s1035, L_inst_exec._s1063, C<0>, C<0>;
L_inst_exec._s1079 .functor OR, L_inst_exec._s1036, L_inst_exec._s1064, C<0>, C<0>;
L_inst_exec._s1080 .functor OR, L_inst_exec._s1037, L_inst_exec._s1065, C<0>, C<0>;
L_inst_exec._s1081 .functor OR, L_inst_exec._s1038, L_inst_exec._s1066, C<0>, C<0>;
L_inst_exec._s1082 .functor OR, L_inst_exec._s1039, L_inst_exec._s1067, C<0>, C<0>;
L_inst_exec._s1083 .functor OR, L_inst_exec._s1040, L_inst_exec._s1068, C<0>, C<0>;
L_inst_exec._s1100 .functor AND, L_inst_exec._s1094, L_inst_exec._s1098, C<1>, C<1>;
L_inst_exec._s1162 .functor AND, L_inst_exec._s1153, L_inst_exec._s499, C<1>, C<1>;
L_inst_exec._s1163 .functor AND, L_inst_exec._s1153, L_inst_exec._s16/0, C<1>, C<1>;
L_inst_exec._s1193 .functor AND, L_inst_exec._s1182, L_inst_exec._s499, C<1>, C<1>;
L_inst_exec._s1214 .functor OR, L_inst_exec._s1162, L_inst_exec._s1193, C<0>, C<0>;
L_inst_exec._s1215 .functor OR, L_inst_exec._s1163, L_inst_exec._s1194, C<0>, C<0>;
L_inst_exec._s1246 .functor AND, L_inst_exec._s1235, L_inst_exec._s499, C<1>, C<1>;
L_inst_exec._s1265 .functor OR, L_inst_exec._s1214, L_inst_exec._s1246, C<0>, C<0>;
L_inst_exec._s1266 .functor OR, L_inst_exec._s1215, L_inst_exec._s1247, C<0>, C<0>;
L_inst_exec._s1289 .functor NOT, L_inst_exec._s499, C<0>, C<0>, C<0>;
L_inst_exec._s1291 .functor NOT, V_$00841610[0], C<0>, C<0>, C<0>;
L_inst_exec._s1296 .functor AND, L_inst_exec._s1286, L_inst_exec._s1291, C<1>, C<1>;
L_inst_exec._s1297 .functor AND, L_inst_exec._s1286, L_inst_exec._s1289, C<1>, C<1>;
L_inst_exec._s1298 .functor AND, L_inst_exec._s1286, L_inst_exec._s16/0, C<1>, C<1>;
L_inst_exec._s1317 .functor OR, L_inst_exec._s1265, L_inst_exec._s1297, C<0>, C<0>;
L_inst_exec._s1318 .functor OR, L_inst_exec._s1266, L_inst_exec._s1298, C<0>, C<0>;
L_inst_exec._s1344 .functor AND, L_inst_exec._s1338, V_$00841610[0], C<1>, C<1>;
L_inst_exec._s1345 .functor AND, L_inst_exec._s1338, L_inst_exec._s499, C<1>, C<1>;
L_inst_exec._s1346 .functor AND, L_inst_exec._s1338, L_inst_exec._s16/0, C<1>, C<1>;
L_inst_exec._s1364 .functor OR, L_inst_exec._s1316, L_inst_exec._s1344, C<0>, C<0>;
L_inst_exec._s1365 .functor OR, L_inst_exec._s1317, L_inst_exec._s1345, C<0>, C<0>;
L_inst_exec._s1366 .functor OR, L_inst_exec._s1318, L_inst_exec._s1346, C<0>, C<0>;
L_inst_exec._s1393 .functor AND, L_inst_exec._s1388, C<z>, C<1>, C<1>;
L_inst_exec._s1394 .functor AND, L_inst_exec._s1388, C<z>, C<1>, C<1>;
L_inst_exec._s1395 .functor AND, L_inst_exec._s1388, C<z>, C<1>, C<1>;
L_inst_exec._s1396 .functor AND, L_inst_exec._s1388, C<z>, C<1>, C<1>;
L_inst_exec._s1397 .functor AND, L_inst_exec._s1388, C<z>, C<1>, C<1>;
L_inst_exec._s1398 .functor AND, L_inst_exec._s1388, C<z>, C<1>, C<1>;
L_inst_exec._s1399 .functor AND, L_inst_exec._s1388, C<z>, C<1>, C<1>;
L_inst_exec._s1400 .functor AND, L_inst_exec._s1388, C<z>, C<1>, C<1>;
L_inst_exec._s1401 .functor AND, L_inst_exec._s1388, C<z>, C<1>, C<1>;
L_inst_exec._s1413 .functor AND, L_inst_exec._s1406, C<z>, C<1>, C<1>;
L_inst_exec._s1414 .functor AND, L_inst_exec._s1406, C<z>, C<1>, C<1>;
L_inst_exec._s1415 .functor AND, L_inst_exec._s1406, C<z>, C<1>, C<1>;
L_inst_exec._s1416 .functor AND, L_inst_exec._s1406, C<z>, C<1>, C<1>;
L_inst_exec._s1417 .functor AND, L_inst_exec._s1406, C<z>, C<1>, C<1>;
L_inst_exec._s1418 .functor AND, L_inst_exec._s1406, C<z>, C<1>, C<1>;
L_inst_exec._s1419 .functor AND, L_inst_exec._s1406, C<z>, C<1>, C<1>;
L_inst_exec._s1420 .functor AND, L_inst_exec._s1406, C<z>, C<1>, C<1>;
L_inst_exec._s1421 .functor AND, L_inst_exec._s1406, C<z>, C<1>, C<1>;
L_inst_exec._s1423 .functor OR, L_inst_exec._s1393, L_inst_exec._s1413, C<0>, C<0>;
L_inst_exec._s1424 .functor OR, L_inst_exec._s1394, L_inst_exec._s1414, C<0>, C<0>;
L_inst_exec._s1425 .functor OR, L_inst_exec._s1395, L_inst_exec._s1415, C<0>, C<0>;
L_inst_exec._s1426 .functor OR, L_inst_exec._s1396, L_inst_exec._s1416, C<0>, C<0>;
L_inst_exec._s1427 .functor OR, L_inst_exec._s1397, L_inst_exec._s1417, C<0>, C<0>;
L_inst_exec._s1428 .functor OR, L_inst_exec._s1398, L_inst_exec._s1418, C<0>, C<0>;
L_inst_exec._s1429 .functor OR, L_inst_exec._s1399, L_inst_exec._s1419, C<0>, C<0>;
L_inst_exec._s1430 .functor OR, L_inst_exec._s1400, L_inst_exec._s1420, C<0>, C<0>;
L_inst_exec._s1431 .functor OR, L_inst_exec._s1401, L_inst_exec._s1421, C<0>, C<0>;
L_inst_exec._s1440 .functor AND, L_inst_exec._s1436, V_$00841610[0], C<1>, C<1>;
L_inst_exec._s1441 .functor AND, L_inst_exec._s1436, C<z>, C<1>, C<1>;
L_inst_exec._s1442 .functor AND, L_inst_exec._s1436, C<z>, C<1>, C<1>;
L_inst_exec._s1443 .functor AND, L_inst_exec._s1436, C<z>, C<1>, C<1>;
L_inst_exec._s1444 .functor AND, L_inst_exec._s1436, C<z>, C<1>, C<1>;
L_inst_exec._s1445 .functor AND, L_inst_exec._s1436, C<z>, C<1>, C<1>;
L_inst_exec._s1446 .functor AND, L_inst_exec._s1436, C<z>, C<1>, C<1>;
L_inst_exec._s1447 .functor AND, L_inst_exec._s1436, C<z>, C<1>, C<1>;
L_inst_exec._s1448 .functor AND, L_inst_exec._s1436, C<z>, C<1>, C<1>;
L_inst_exec._s1450 .functor OR, L_inst_exec._s1423, L_inst_exec._s1440, C<0>, C<0>;
L_inst_exec._s1451 .functor OR, L_inst_exec._s1424, L_inst_exec._s1441, C<0>, C<0>;
L_inst_exec._s1452 .functor OR, L_inst_exec._s1425, L_inst_exec._s1442, C<0>, C<0>;
L_inst_exec._s1453 .functor OR, L_inst_exec._s1426, L_inst_exec._s1443, C<0>, C<0>;
L_inst_exec._s1454 .functor OR, L_inst_exec._s1427, L_inst_exec._s1444, C<0>, C<0>;
L_inst_exec._s1455 .functor OR, L_inst_exec._s1428, L_inst_exec._s1445, C<0>, C<0>;
L_inst_exec._s1456 .functor OR, L_inst_exec._s1429, L_inst_exec._s1446, C<0>, C<0>;
L_inst_exec._s1457 .functor OR, L_inst_exec._s1430, L_inst_exec._s1447, C<0>, C<0>;
L_inst_exec._s1458 .functor OR, L_inst_exec._s1431, L_inst_exec._s1448, C<0>, C<0>;
L_inst_exec._s1469 .functor AND, L_inst_exec._s1463, C<z>, C<1>, C<1>;
L_inst_exec._s1470 .functor AND, L_inst_exec._s1463, C<z>, C<1>, C<1>;
L_inst_exec._s1471 .functor AND, L_inst_exec._s1463, C<z>, C<1>, C<1>;
L_inst_exec._s1472 .functor AND, L_inst_exec._s1463, C<z>, C<1>, C<1>;
L_inst_exec._s1473 .functor AND, L_inst_exec._s1463, C<z>, C<1>, C<1>;
L_inst_exec._s1474 .functor AND, L_inst_exec._s1463, C<z>, C<1>, C<1>;
L_inst_exec._s1475 .functor AND, L_inst_exec._s1463, C<z>, C<1>, C<1>;
L_inst_exec._s1476 .functor AND, L_inst_exec._s1463, V_$00841610[0], C<1>, C<1>;
L_inst_exec._s1477 .functor AND, L_inst_exec._s1463, C<z>, C<1>, C<1>;
L_inst_exec._s1479 .functor OR, L_inst_exec._s1450, L_inst_exec._s1469, C<0>, C<0>;
L_inst_exec._s1480 .functor OR, L_inst_exec._s1451, L_inst_exec._s1470, C<0>, C<0>;
L_inst_exec._s1481 .functor OR, L_inst_exec._s1452, L_inst_exec._s1471, C<0>, C<0>;
L_inst_exec._s1482 .functor OR, L_inst_exec._s1453, L_inst_exec._s1472, C<0>, C<0>;
L_inst_exec._s1483 .functor OR, L_inst_exec._s1454, L_inst_exec._s1473, C<0>, C<0>;
L_inst_exec._s1484 .functor OR, L_inst_exec._s1455, L_inst_exec._s1474, C<0>, C<0>;
L_inst_exec._s1485 .functor OR, L_inst_exec._s1456, L_inst_exec._s1475, C<0>, C<0>;
L_inst_exec._s1486 .functor OR, L_inst_exec._s1457, L_inst_exec._s1476, C<0>, C<0>;
L_inst_exec._s1487 .functor OR, L_inst_exec._s1458, L_inst_exec._s1477, C<0>, C<0>;
L_inst_exec._s1499 .functor AND, L_inst_exec._s1492, C<z>, C<1>, C<1>;
L_inst_exec._s1500 .functor AND, L_inst_exec._s1492, C<z>, C<1>, C<1>;
L_inst_exec._s1501 .functor AND, L_inst_exec._s1492, C<z>, C<1>, C<1>;
L_inst_exec._s1502 .functor AND, L_inst_exec._s1492, C<z>, C<1>, C<1>;
L_inst_exec._s1503 .functor AND, L_inst_exec._s1492, C<z>, C<1>, C<1>;
L_inst_exec._s1504 .functor AND, L_inst_exec._s1492, C<z>, C<1>, C<1>;
L_inst_exec._s1505 .functor AND, L_inst_exec._s1492, C<z>, C<1>, C<1>;
L_inst_exec._s1506 .functor AND, L_inst_exec._s1492, C<z>, C<1>, C<1>;
L_inst_exec._s1509 .functor OR, L_inst_exec._s1480, L_inst_exec._s1499, C<0>, C<0>;
L_inst_exec._s1510 .functor OR, L_inst_exec._s1481, L_inst_exec._s1500, C<0>, C<0>;
L_inst_exec._s1511 .functor OR, L_inst_exec._s1482, L_inst_exec._s1501, C<0>, C<0>;
L_inst_exec._s1512 .functor OR, L_inst_exec._s1483, L_inst_exec._s1502, C<0>, C<0>;
L_inst_exec._s1513 .functor OR, L_inst_exec._s1484, L_inst_exec._s1503, C<0>, C<0>;
L_inst_exec._s1514 .functor OR, L_inst_exec._s1485, L_inst_exec._s1504, C<0>, C<0>;
L_inst_exec._s1515 .functor OR, L_inst_exec._s1486, L_inst_exec._s1505, C<0>, C<0>;
L_inst_exec._s1516 .functor OR, L_inst_exec._s1487, L_inst_exec._s1506, C<0>, C<0>;
L_inst_exec._s1528 .functor AND, L_inst_exec._s1521, C<z>, C<1>, C<1>;
L_inst_exec._s1529 .functor AND, L_inst_exec._s1521, C<z>, C<1>, C<1>;
L_inst_exec._s1530 .functor AND, L_inst_exec._s1521, C<z>, C<1>, C<1>;
L_inst_exec._s1531 .functor AND, L_inst_exec._s1521, C<z>, C<1>, C<1>;
L_inst_exec._s1532 .functor AND, L_inst_exec._s1521, C<z>, C<1>, C<1>;
L_inst_exec._s1533 .functor AND, L_inst_exec._s1521, C<z>, C<1>, C<1>;
L_inst_exec._s1534 .functor AND, L_inst_exec._s1521, C<z>, C<1>, C<1>;
L_inst_exec._s1535 .functor AND, L_inst_exec._s1521, C<z>, C<1>, C<1>;
L_inst_exec._s1536 .functor AND, L_inst_exec._s1521, C<z>, C<1>, C<1>;
L_inst_exec._s1538 .functor OR, L_inst_exec._s1508, L_inst_exec._s1528, C<0>, C<0>;
L_inst_exec._s1539 .functor OR, L_inst_exec._s1509, L_inst_exec._s1529, C<0>, C<0>;
L_inst_exec._s1540 .functor OR, L_inst_exec._s1510, L_inst_exec._s1530, C<0>, C<0>;
L_inst_exec._s1541 .functor OR, L_inst_exec._s1511, L_inst_exec._s1531, C<0>, C<0>;
L_inst_exec._s1542 .functor OR, L_inst_exec._s1512, L_inst_exec._s1532, C<0>, C<0>;
L_inst_exec._s1543 .functor OR, L_inst_exec._s1513, L_inst_exec._s1533, C<0>, C<0>;
L_inst_exec._s1544 .functor OR, L_inst_exec._s1514, L_inst_exec._s1534, C<0>, C<0>;
L_inst_exec._s1545 .functor OR, L_inst_exec._s1515, L_inst_exec._s1535, C<0>, C<0>;
L_inst_exec._s1546 .functor OR, L_inst_exec._s1516, L_inst_exec._s1536, C<0>, C<0>;
L_inst_exec._s1559 .functor AND, L_inst_exec._s1551, L_inst_exec._s1555[0], C<1>, C<1>;
L_inst_exec._s1560 .functor AND, L_inst_exec._s1551, C<z>, C<1>, C<1>;
L_inst_exec._s1561 .functor AND, L_inst_exec._s1551, C<z>, C<1>, C<1>;
L_inst_exec._s1562 .functor AND, L_inst_exec._s1551, C<z>, C<1>, C<1>;
L_inst_exec._s1563 .functor AND, L_inst_exec._s1551, C<z>, C<1>, C<1>;
L_inst_exec._s1564 .functor AND, L_inst_exec._s1551, C<z>, C<1>, C<1>;
L_inst_exec._s1565 .functor AND, L_inst_exec._s1551, C<z>, C<1>, C<1>;
L_inst_exec._s1566 .functor AND, L_inst_exec._s1551, C<z>, C<1>, C<1>;
L_inst_exec._s1567 .functor AND, L_inst_exec._s1551, C<z>, C<1>, C<1>;
L_inst_exec._s1569 .functor OR, L_inst_exec._s1538, L_inst_exec._s1559, C<0>, C<0>;
L_inst_exec._s1570 .functor OR, L_inst_exec._s1539, L_inst_exec._s1560, C<0>, C<0>;
L_inst_exec._s1571 .functor OR, L_inst_exec._s1540, L_inst_exec._s1561, C<0>, C<0>;
L_inst_exec._s1572 .functor OR, L_inst_exec._s1541, L_inst_exec._s1562, C<0>, C<0>;
L_inst_exec._s1573 .functor OR, L_inst_exec._s1542, L_inst_exec._s1563, C<0>, C<0>;
L_inst_exec._s1574 .functor OR, L_inst_exec._s1543, L_inst_exec._s1564, C<0>, C<0>;
L_inst_exec._s1575 .functor OR, L_inst_exec._s1544, L_inst_exec._s1565, C<0>, C<0>;
L_inst_exec._s1576 .functor OR, L_inst_exec._s1545, L_inst_exec._s1566, C<0>, C<0>;
L_inst_exec._s1577 .functor OR, L_inst_exec._s1546, L_inst_exec._s1567, C<0>, C<0>;
L_inst_exec._s1590 .functor AND, L_inst_exec._s1582, C<z>, C<1>, C<1>;
L_inst_exec._s1591 .functor AND, L_inst_exec._s1582, C<z>, C<1>, C<1>;
L_inst_exec._s1592 .functor AND, L_inst_exec._s1582, C<z>, C<1>, C<1>;
L_inst_exec._s1593 .functor AND, L_inst_exec._s1582, C<z>, C<1>, C<1>;
L_inst_exec._s1594 .functor AND, L_inst_exec._s1582, C<z>, C<1>, C<1>;
L_inst_exec._s1595 .functor AND, L_inst_exec._s1582, C<z>, C<1>, C<1>;
L_inst_exec._s1596 .functor AND, L_inst_exec._s1582, C<z>, C<1>, C<1>;
L_inst_exec._s1598 .functor AND, L_inst_exec._s1582, C<z>, C<1>, C<1>;
L_inst_exec._s1600 .functor OR, L_inst_exec._s1569, L_inst_exec._s1590, C<0>, C<0>;
L_inst_exec._s1601 .functor OR, L_inst_exec._s1570, L_inst_exec._s1591, C<0>, C<0>;
L_inst_exec._s1602 .functor OR, L_inst_exec._s1571, L_inst_exec._s1592, C<0>, C<0>;
L_inst_exec._s1603 .functor OR, L_inst_exec._s1572, L_inst_exec._s1593, C<0>, C<0>;
L_inst_exec._s1604 .functor OR, L_inst_exec._s1573, L_inst_exec._s1594, C<0>, C<0>;
L_inst_exec._s1605 .functor OR, L_inst_exec._s1574, L_inst_exec._s1595, C<0>, C<0>;
L_inst_exec._s1606 .functor OR, L_inst_exec._s1575, L_inst_exec._s1596, C<0>, C<0>;
L_inst_exec._s1608 .functor OR, L_inst_exec._s1577, L_inst_exec._s1598, C<0>, C<0>;
L_inst_exec._s1623 .functor OR, L_inst_exec._s1617, L_inst_exec._s1621, C<0>, C<0>;
L_inst_exec._s1628 .functor OR, L_inst_exec._s1623, L_inst_exec._s1626, C<0>, C<0>;
L_inst_exec._s1851 .functor AND, C<z>, L_inst_exec._s1836, C<1>, C<1>;
L_inst_exec._s1860 .functor AND, L_inst_exec._s1846, L_inst_exec._s1851, C<1>, C<1>;
L_inst_exec._s1873 .functor NOT, L_inst_exec._s1836, C<0>, C<0>, C<0>;
L_inst_exec._s1877 .functor AND, C<z>, L_inst_exec._s1873, C<1>, C<1>;
L_inst_exec._s1886 .functor AND, L_inst_exec._s1870, L_inst_exec._s1877, C<1>, C<1>;
L_inst_exec._s1895 .functor OR, L_inst_exec._s1860, L_inst_exec._s1886, C<0>, C<0>;
L_inst_exec._s1908 .functor OR, C<z>, L_inst_exec._s1836, C<0>, C<0>;
L_inst_exec._s1912 .functor AND, L_inst_exec._s1905, L_inst_exec._s1908, C<1>, C<1>;
L_inst_exec._s1921 .functor OR, L_inst_exec._s1895, L_inst_exec._s1912, C<0>, C<0>;
L_inst_exec._s1948 .functor AND, L_inst_exec._s1946, V_$00841610[4], C<1>, C<1>;
L_inst_exec._s1959 .functor AND, L_inst_exec._s1952, L_inst_exec._s1957, C<1>, C<1>;
L_inst_exec._s1963 .functor AND, V_$00841610[1], V_$00841610[4], C<1>, C<1>;
L_inst_exec._s1977 .functor AND, L_inst_exec._s1975, V_$00841610[0], C<1>, C<1>;
L_inst_exec._s1988 .functor AND, L_inst_exec._s1981, L_inst_exec._s1986, C<1>, C<1>;
L_inst_exec._s1999 .functor AND, L_inst_exec._s1992, L_inst_exec._s1997, C<1>, C<1>;
L_inst_exec._s2006 .functor AND, L_inst_exec._s1999, L_inst_exec._s2004, C<1>, C<1>;
L_inst_exec._s2012 .functor AND, V_$00841610[1], L_inst_exec._s2010, C<1>, C<1>;
L_inst_exec._s2014 .functor AND, L_inst_exec._s2012, V_$00841610[4], C<1>, C<1>;
L_inst_exec._s2018 .functor AND, V_$00841610[1], V_$00841610[0], C<1>, C<1>;
L_inst_exec._s2022 .functor AND, L_inst_exec._s2018, L_inst_exec._s2020, C<1>, C<1>;
L_inst_exec._s2026 .functor AND, V_$00841610[1], V_$00841610[0], C<1>, C<1>;
L_inst_exec._s2028 .functor AND, L_inst_exec._s2026, V_$00841610[4], C<1>, C<1>;
L_inst_exec._s2059 .functor OR, L_inst_exec._s2054, L_inst_exec._s2057, C<0>, C<0>;
L_inst_exec._s2064 .functor OR, L_inst_exec._s2059, L_inst_exec._s2062, C<0>, C<0>;
L_inst_exec._s2069 .functor OR, L_inst_exec._s2064, L_inst_exec._s2067, C<0>, C<0>;
L_inst_exec._s2074 .functor OR, L_inst_exec._s2069, L_inst_exec._s2072, C<0>, C<0>;
L_inst_exec._s2079 .functor OR, L_inst_exec._s2074, L_inst_exec._s2077, C<0>, C<0>;
L_inst_exec._s2084 .functor OR, L_inst_exec._s2079, L_inst_exec._s2082, C<0>, C<0>;
L_inst_exec._s2089 .functor OR, L_inst_exec._s2084, L_inst_exec._s2087, C<0>, C<0>;
L_inst_exec._s2094 .functor OR, L_inst_exec._s2089, L_inst_exec._s2092, C<0>, C<0>;
L_inst_exec._s2099 .functor OR, L_inst_exec._s2094, L_inst_exec._s2097, C<0>, C<0>;
L_inst_exec._s2104 .functor OR, L_inst_exec._s2099, L_inst_exec._s2102, C<0>, C<0>;
L_inst_exec._s2109 .functor OR, L_inst_exec._s2104, L_inst_exec._s2107, C<0>, C<0>;
L_inst_exec._s2114 .functor OR, L_inst_exec._s2109, L_inst_exec._s2112, C<0>, C<0>;
L_inst_exec._s2119 .functor OR, L_inst_exec._s2114, L_inst_exec._s2117, C<0>, C<0>;
L_inst_exec._s2124 .functor OR, L_inst_exec._s2119, L_inst_exec._s2122, C<0>, C<0>;
L_inst_exec._s2129 .functor OR, L_inst_exec._s2124, L_inst_exec._s2127, C<0>, C<0>;
L_inst_exec._s2134 .functor OR, L_inst_exec._s2129, L_inst_exec._s2132, C<0>, C<0>;
L_inst_exec._s2139 .functor OR, L_inst_exec._s2134, L_inst_exec._s2137, C<0>, C<0>;
L_inst_exec._s2144 .functor OR, L_inst_exec._s2139, L_inst_exec._s2142, C<0>, C<0>;
L_inst_exec._s2149 .functor OR, L_inst_exec._s2144, L_inst_exec._s2147, C<0>, C<0>;
L_inst_exec._s2154 .functor OR, L_inst_exec._s2149, L_inst_exec._s2152, C<0>, C<0>;
L_inst_exec._s2159 .functor OR, L_inst_exec._s2154, L_inst_exec._s2157, C<0>, C<0>;
L_inst_exec._s2164 .functor OR, L_inst_exec._s2159, L_inst_exec._s2162, C<0>, C<0>;
L_inst_exec._s2169 .functor OR, L_inst_exec._s2164, L_inst_exec._s2167, C<0>, C<0>;
L_inst_exec._s2174 .functor OR, L_inst_exec._s2169, L_inst_exec._s2172, C<0>, C<0>;
L_inst_exec._s2179 .functor OR, L_inst_exec._s2174, L_inst_exec._s2177, C<0>, C<0>;
L_inst_exec._s2184 .functor OR, L_inst_exec._s2179, L_inst_exec._s2182, C<0>, C<0>;
L_inst_exec._s2189 .functor OR, L_inst_exec._s2184, L_inst_exec._s2187, C<0>, C<0>;
L_inst_exec._s2194 .functor OR, L_inst_exec._s2189, L_inst_exec._s2192, C<0>, C<0>;
L_inst_exec._s2199 .functor OR, L_inst_exec._s2194, L_inst_exec._s2197, C<0>, C<0>;
L_inst_exec._s2204 .functor OR, L_inst_exec._s2199, L_inst_exec._s2202, C<0>, C<0>;
L_inst_exec._s2209 .functor OR, L_inst_exec._s2204, L_inst_exec._s2207, C<0>, C<0>;
L_inst_exec._s2214 .functor OR, L_inst_exec._s2209, L_inst_exec._s2212, C<0>, C<0>;
L_inst_exec._s2219 .functor OR, L_inst_exec._s2214, L_inst_exec._s2217, C<0>, C<0>;
L_inst_exec._s2224 .functor OR, L_inst_exec._s2219, L_inst_exec._s2222, C<0>, C<0>;
L_inst_exec._s2229 .functor OR, L_inst_exec._s2224, L_inst_exec._s2227, C<0>, C<0>;
L_inst_exec._s2234 .functor OR, L_inst_exec._s2229, L_inst_exec._s2232, C<0>, C<0>;
L_inst_exec._s2239 .functor OR, L_inst_exec._s2234, L_inst_exec._s2237, C<0>, C<0>;
L_inst_exec._s2244 .functor OR, L_inst_exec._s2239, L_inst_exec._s2242, C<0>, C<0>;
L_inst_exec._s2249 .functor OR, L_inst_exec._s2244, L_inst_exec._s2247, C<0>, C<0>;
L_inst_exec._s2254 .functor OR, L_inst_exec._s2249, L_inst_exec._s2252, C<0>, C<0>;
L_inst_exec._s2259 .functor OR, L_inst_exec._s2254, L_inst_exec._s2257, C<0>, C<0>;
L_inst_exec._s2264 .functor OR, L_inst_exec._s2259, L_inst_exec._s2262, C<0>, C<0>;
L_inst_exec._s2269 .functor OR, L_inst_exec._s2264, L_inst_exec._s2267, C<0>, C<0>;
L_inst_exec._s2274 .functor OR, L_inst_exec._s2269, L_inst_exec._s2272, C<0>, C<0>;
L_inst_exec._s2279 .functor OR, L_inst_exec._s2274, L_inst_exec._s2277, C<0>, C<0>;
L_inst_exec._s2284 .functor OR, L_inst_exec._s2279, L_inst_exec._s2282, C<0>, C<0>;
L_inst_exec._s2289 .functor OR, L_inst_exec._s2284, L_inst_exec._s2287, C<0>, C<0>;
L_inst_exec._s2294 .functor OR, L_inst_exec._s2289, L_inst_exec._s2292, C<0>, C<0>;
L_inst_exec._s2299 .functor OR, L_inst_exec._s2294, L_inst_exec._s2297, C<0>, C<0>;
L_inst_exec._s2304 .functor OR, L_inst_exec._s2299, L_inst_exec._s2302, C<0>, C<0>;
L_inst_exec._s2309 .functor OR, L_inst_exec._s2304, L_inst_exec._s2307, C<0>, C<0>;
L_inst_exec._s2314 .functor OR, L_inst_exec._s2309, L_inst_exec._s2312, C<0>, C<0>;
L_inst_exec._s2319 .functor OR, L_inst_exec._s2314, L_inst_exec._s2317, C<0>, C<0>;
L_inst_exec._s2324 .functor OR, L_inst_exec._s2319, L_inst_exec._s2322, C<0>, C<0>;
L_inst_exec._s2329 .functor OR, L_inst_exec._s2324, L_inst_exec._s2327, C<0>, C<0>;
L_inst_exec._s2334 .functor OR, L_inst_exec._s2329, L_inst_exec._s2332, C<0>, C<0>;
L_inst_exec._s2339 .functor OR, L_inst_exec._s2334, L_inst_exec._s2337, C<0>, C<0>;
L_inst_exec._s2344 .functor OR, L_inst_exec._s2339, L_inst_exec._s2342, C<0>, C<0>;
L_inst_exec._s2349 .functor OR, L_inst_exec._s2344, L_inst_exec._s2347, C<0>, C<0>;
L_inst_exec._s2354 .functor OR, L_inst_exec._s2349, L_inst_exec._s2352, C<0>, C<0>;
L_inst_exec._s2359 .functor OR, L_inst_exec._s2354, L_inst_exec._s2357, C<0>, C<0>;
L_inst_exec._s2364 .functor OR, L_inst_exec._s2359, L_inst_exec._s2362, C<0>, C<0>;
L_inst_exec._s2369 .functor OR, L_inst_exec._s2364, L_inst_exec._s2367, C<0>, C<0>;
L_inst_exec._s2374 .functor OR, L_inst_exec._s2369, L_inst_exec._s2372, C<0>, C<0>;
L_inst_exec._s2382 .functor OR, L_inst_exec._s2377, L_inst_exec._s2380, C<0>, C<0>;
L_inst_exec._s2387 .functor OR, L_inst_exec._s2382, L_inst_exec._s2385, C<0>, C<0>;
L_inst_exec._s2392 .functor OR, L_inst_exec._s2387, L_inst_exec._s2390, C<0>, C<0>;
L_inst_exec._s2400 .functor OR, L_inst_exec._s2395, L_inst_exec._s2398, C<0>, C<0>;
L_inst_exec._s2405 .functor OR, L_inst_exec._s2400, L_inst_exec._s2403, C<0>, C<0>;
L_inst_exec._s2410 .functor OR, L_inst_exec._s2405, L_inst_exec._s2408, C<0>, C<0>;
L_inst_exec._s2415 .functor OR, L_inst_exec._s2410, L_inst_exec._s2413, C<0>, C<0>;
L_inst_exec._s2420 .functor OR, L_inst_exec._s2415, L_inst_exec._s2418, C<0>, C<0>;
L_inst_exec._s2425 .functor OR, L_inst_exec._s2420, L_inst_exec._s2423, C<0>, C<0>;
L_inst_exec._s2430 .functor OR, L_inst_exec._s2425, L_inst_exec._s2428, C<0>, C<0>;
L_inst_exec._s2438 .functor OR, L_inst_exec._s2433, L_inst_exec._s2436, C<0>, C<0>;
L_inst_exec._s2443 .functor OR, L_inst_exec._s2438, L_inst_exec._s2441, C<0>, C<0>;
L_inst_exec._s2448 .functor OR, L_inst_exec._s2443, L_inst_exec._s2446, C<0>, C<0>;
L_inst_exec._s2453 .functor OR, L_inst_exec._s2448, L_inst_exec._s2451, C<0>, C<0>;
L_inst_exec._s2470 .functor OR, L_inst_exec._s2374, L_inst_exec._s2392, C<0>, C<0>;
L_inst_exec._s2472 .functor OR, L_inst_exec._s2470, L_inst_exec._s2430, C<0>, C<0>;
L_inst_exec._s2474 .functor OR, L_inst_exec._s2472, L_inst_exec._s2468, C<0>, C<0>;
L_inst_exec._s2479 .functor OR, L_inst_exec._s2474, L_inst_exec._s2477, C<0>, C<0>;
L_inst_exec._s2484 .functor OR, L_inst_exec._s2479, L_inst_exec._s2482, C<0>, C<0>;
L_inst_exec._s2489 .functor OR, L_inst_exec._s2484, L_inst_exec._s2487, C<0>, C<0>;
L_inst_exec._s2494 .functor OR, L_inst_exec._s2489, L_inst_exec._s2492, C<0>, C<0>;
L_inst_exec._s2499 .functor OR, L_inst_exec._s2494, L_inst_exec._s2497, C<0>, C<0>;
L_inst_exec._s2504 .functor AND, L_inst_exec._s2502, L_inst_exec._s1628, C<1>, C<1>;
L_inst_exec._s2506 .functor OR, L_inst_exec._s2499, L_inst_exec._s2504, C<0>, C<0>;
L_inst_exec._s2511 .functor AND, L_inst_exec._s2509, L_inst_exec._s1613, C<1>, C<1>;
L_inst_exec._s2513 .functor OR, L_inst_exec._s2506, L_inst_exec._s2511, C<0>, C<0>;
L_inst_exec._s2531 .functor OR, L_inst_exec._s2523, L_inst_exec._s2529, C<0>, C<0>;
L_inst_exec._s2536 .functor OR, L_inst_exec._s2531, L_inst_exec._s2534, C<0>, C<0>;
L_inst_exec._s2544 .functor OR, L_inst_exec._s2539, L_inst_exec._s2542, C<0>, C<0>;
L_inst_exec._s2552 .functor OR, L_inst_exec._s2547, L_inst_exec._s2550, C<0>, C<0>;
L_inst_exec._s2557 .functor OR, L_inst_exec._s2552, L_inst_exec._s2555, C<0>, C<0>;
L_inst_exec._s2562 .functor OR, L_inst_exec._s2557, L_inst_exec._s2560, C<0>, C<0>;
L_inst_exec._s2567 .functor OR, L_inst_exec._s2562, L_inst_exec._s2565, C<0>, C<0>;
L_inst_exec._s2572 .functor OR, L_inst_exec._s2567, L_inst_exec._s2570, C<0>, C<0>;
L_inst_exec._s2577 .functor OR, L_inst_exec._s2572, L_inst_exec._s2575, C<0>, C<0>;
L_inst_exec._s2582 .functor OR, L_inst_exec._s2577, L_inst_exec._s2580, C<0>, C<0>;
L_inst_exec._s2590 .functor OR, L_inst_exec._s2585, L_inst_exec._s2588, C<0>, C<0>;
L_inst_exec._s2592 .functor OR, L_inst_exec._s2544, L_inst_exec._s2582, C<0>, C<0>;
L_inst_exec._s2594 .functor OR, L_inst_exec._s2592, L_inst_exec._s2590, C<0>, C<0>;
L_inst_exec._s2599 .functor OR, L_inst_exec._s2594, L_inst_exec._s2597, C<0>, C<0>;
L_inst_exec._s2604 .functor OR, L_inst_exec._s2599, L_inst_exec._s2602, C<0>, C<0>;
L_inst_exec._s2608 .functor OR, L_inst_exec._s2604, L_inst_exec._s2606, C<0>, C<0>;
L_inst_exec._s2613 .functor OR, L_inst_exec._s2608, L_inst_exec._s2611, C<0>, C<0>;
L_inst_exec._s2618 .functor AND, L_inst_exec._s2616, L_inst_exec._s1628, C<1>, C<1>;
L_inst_exec._s2620 .functor OR, L_inst_exec._s2613, L_inst_exec._s2618, C<0>, C<0>;
L_inst_exec._s2625 .functor AND, L_inst_exec._s2623, L_inst_exec._s1613, C<1>, C<1>;
L_inst_exec._s2627 .functor OR, L_inst_exec._s2620, L_inst_exec._s2625, C<0>, C<0>;
L_inst_exec._s2632 .functor OR, L_inst_exec._s2627, L_inst_exec._s2630, C<0>, C<0>;
L_inst_exec._s2652 .functor OR, L_inst_exec._s2648, L_inst_exec._s2650, C<0>, C<0>;
L_inst_exec._s2660 .functor OR, L_inst_exec._s2655, L_inst_exec._s2658, C<0>, C<0>;
L_inst_exec._s2668 .functor OR, L_inst_exec._s2663, L_inst_exec._s2666, C<0>, C<0>;
L_inst_exec._s2673 .functor OR, L_inst_exec._s2668, L_inst_exec._s2671, C<0>, C<0>;
L_inst_exec._s2678 .functor OR, L_inst_exec._s2673, L_inst_exec._s2676, C<0>, C<0>;
L_inst_exec._s2683 .functor OR, L_inst_exec._s2678, L_inst_exec._s2681, C<0>, C<0>;
L_inst_exec._s2688 .functor OR, L_inst_exec._s2683, L_inst_exec._s2686, C<0>, C<0>;
L_inst_exec._s2693 .functor OR, L_inst_exec._s2688, L_inst_exec._s2691, C<0>, C<0>;
L_inst_exec._s2698 .functor OR, L_inst_exec._s2693, L_inst_exec._s2696, C<0>, C<0>;
L_inst_exec._s2700 .functor OR, L_inst_exec._s2660, L_inst_exec._s2698, C<0>, C<0>;
L_inst_exec._s2702 .functor OR, L_inst_exec._s2700, L_inst_exec._s2652, C<0>, C<0>;
L_inst_exec._s2706 .functor OR, L_inst_exec._s2702, L_inst_exec._s2704, C<0>, C<0>;
L_inst_exec._s2710 .functor OR, L_inst_exec._s2706, L_inst_exec._s2708, C<0>, C<0>;
L_inst_exec._s2714 .functor OR, L_inst_exec._s2710, L_inst_exec._s2712, C<0>, C<0>;
L_inst_exec._s2719 .functor OR, L_inst_exec._s2714, L_inst_exec._s2717, C<0>, C<0>;
L_inst_exec._s2724 .functor AND, L_inst_exec._s2722, L_inst_exec._s1628, C<1>, C<1>;
L_inst_exec._s2726 .functor OR, L_inst_exec._s2719, L_inst_exec._s2724, C<0>, C<0>;
L_inst_exec._s2731 .functor AND, L_inst_exec._s2729, L_inst_exec._s1613, C<1>, C<1>;
L_inst_exec._s2733 .functor OR, L_inst_exec._s2726, L_inst_exec._s2731, C<0>, C<0>;
L_inst_exec._s2779 .functor OR, L_inst_exec._s2774, L_inst_exec._s2777, C<0>, C<0>;
L_inst_exec._s2784 .functor OR, L_inst_exec._s2779, L_inst_exec._s2782, C<0>, C<0>;
L_inst_exec._s2789 .functor OR, L_inst_exec._s2784, L_inst_exec._s2787, C<0>, C<0>;
L_inst_exec._s2794 .functor OR, L_inst_exec._s2789, L_inst_exec._s2792, C<0>, C<0>;
L_inst_exec._s2799 .functor OR, L_inst_exec._s2794, L_inst_exec._s2797, C<0>, C<0>;
L_inst_exec._s2804 .functor OR, L_inst_exec._s2799, L_inst_exec._s2802, C<0>, C<0>;
L_inst_exec._s2809 .functor OR, L_inst_exec._s2804, L_inst_exec._s2807, C<0>, C<0>;
L_inst_exec._s2842 .functor AND, L_inst_exec._s2840, L_inst_exec._s1628, C<1>, C<1>;
L_inst_exec._s2849 .functor AND, L_inst_exec._s2847, L_inst_exec._s1613, C<1>, C<1>;
L_inst_exec._s2871 .functor OR, L_inst_exec._s2867, L_inst_exec._s2869, C<0>, C<0>;
L_inst_exec._s2879 .functor OR, L_inst_exec._s2874, L_inst_exec._s2877, C<0>, C<0>;
L_inst_exec._s2887 .functor OR, L_inst_exec._s2882, L_inst_exec._s2885, C<0>, C<0>;
L_inst_exec._s2892 .functor OR, L_inst_exec._s2887, L_inst_exec._s2890, C<0>, C<0>;
L_inst_exec._s2897 .functor OR, L_inst_exec._s2892, L_inst_exec._s2895, C<0>, C<0>;
L_inst_exec._s2902 .functor OR, L_inst_exec._s2897, L_inst_exec._s2900, C<0>, C<0>;
L_inst_exec._s2907 .functor OR, L_inst_exec._s2902, L_inst_exec._s2905, C<0>, C<0>;
L_inst_exec._s2912 .functor OR, L_inst_exec._s2907, L_inst_exec._s2910, C<0>, C<0>;
L_inst_exec._s2917 .functor OR, L_inst_exec._s2912, L_inst_exec._s2915, C<0>, C<0>;
L_inst_exec._s2919 .functor OR, L_inst_exec._s2879, L_inst_exec._s2917, C<0>, C<0>;
L_inst_exec._s2921 .functor OR, L_inst_exec._s2919, L_inst_exec._s2871, C<0>, C<0>;
L_inst_exec._s2925 .functor OR, L_inst_exec._s2921, L_inst_exec._s2923, C<0>, C<0>;
L_inst_exec._s2929 .functor OR, L_inst_exec._s2925, L_inst_exec._s2927, C<0>, C<0>;
L_inst_exec._s2933 .functor OR, L_inst_exec._s2929, L_inst_exec._s2931, C<0>, C<0>;
L_inst_exec._s2937 .functor OR, L_inst_exec._s2933, L_inst_exec._s2935, C<0>, C<0>;
L_inst_exec._s2941 .functor OR, L_inst_exec._s2937, L_inst_exec._s2939, C<0>, C<0>;
L_inst_exec._s2946 .functor AND, L_inst_exec._s2944, L_inst_exec._s1628, C<1>, C<1>;
L_inst_exec._s2948 .functor OR, L_inst_exec._s2941, L_inst_exec._s2946, C<0>, C<0>;
L_inst_exec._s2953 .functor AND, L_inst_exec._s2951, L_inst_exec._s1613, C<1>, C<1>;
L_inst_exec._s2955 .functor OR, L_inst_exec._s2948, L_inst_exec._s2953, C<0>, C<0>;
L_inst_exec._s2977 .functor OR, L_inst_exec._s2972, L_inst_exec._s2975, C<0>, C<0>;
L_inst_exec._s2982 .functor OR, L_inst_exec._s2977, L_inst_exec._s2980, C<0>, C<0>;
L_inst_exec._s2987 .functor OR, L_inst_exec._s2982, L_inst_exec._s2985, C<0>, C<0>;
L_inst_exec._s2992 .functor OR, L_inst_exec._s2987, L_inst_exec._s2990, C<0>, C<0>;
L_inst_exec._s2997 .functor OR, L_inst_exec._s2992, L_inst_exec._s2995, C<0>, C<0>;
L_inst_exec._s3005 .functor OR, L_inst_exec._s3000, L_inst_exec._s3003, C<0>, C<0>;
L_inst_exec._s3013 .functor OR, L_inst_exec._s3008, L_inst_exec._s3011, C<0>, C<0>;
L_inst_exec._s3018 .functor OR, L_inst_exec._s3013, L_inst_exec._s3016, C<0>, C<0>;
L_inst_exec._s3023 .functor OR, L_inst_exec._s3018, L_inst_exec._s3021, C<0>, C<0>;
L_inst_exec._s3028 .functor OR, L_inst_exec._s3023, L_inst_exec._s3026, C<0>, C<0>;
L_inst_exec._s3033 .functor OR, L_inst_exec._s3028, L_inst_exec._s3031, C<0>, C<0>;
L_inst_exec._s3038 .functor OR, L_inst_exec._s3033, L_inst_exec._s3036, C<0>, C<0>;
L_inst_exec._s3043 .functor OR, L_inst_exec._s3038, L_inst_exec._s3041, C<0>, C<0>;
L_inst_exec._s3045 .functor OR, L_inst_exec._s3005, C<z>, C<0>, C<0>;
L_inst_exec._s3047 .functor OR, L_inst_exec._s3045, L_inst_exec._s2997, C<0>, C<0>;
L_inst_exec._s3052 .functor OR, L_inst_exec._s3047, L_inst_exec._s3050, C<0>, C<0>;
L_inst_exec._s3057 .functor OR, L_inst_exec._s3052, L_inst_exec._s3055, C<0>, C<0>;
L_inst_exec._s3062 .functor OR, L_inst_exec._s3057, L_inst_exec._s3060, C<0>, C<0>;
L_inst_exec._s3066 .functor OR, L_inst_exec._s3062, L_inst_exec._s3064, C<0>, C<0>;
L_inst_exec._s3070 .functor OR, L_inst_exec._s3066, L_inst_exec._s3068, C<0>, C<0>;
L_inst_exec._s3075 .functor OR, L_inst_exec._s3070, L_inst_exec._s3073, C<0>, C<0>;
L_inst_exec._s3080 .functor AND, L_inst_exec._s3078, L_inst_exec._s1628, C<1>, C<1>;
L_inst_exec._s3082 .functor OR, L_inst_exec._s3075, L_inst_exec._s3080, C<0>, C<0>;
L_inst_exec._s3087 .functor AND, L_inst_exec._s3085, L_inst_exec._s1613, C<1>, C<1>;
L_inst_exec._s3089 .functor OR, L_inst_exec._s3082, L_inst_exec._s3087, C<0>, C<0>;
L_inst_exec._s3105 .functor OR, C<z>, C<z>, C<0>, C<0>;
L_inst_exec._s3109 .functor AND, C<z>, L_inst_exec._s3107, C<1>, C<1>;
L_inst_exec._s3115 .functor OR, L_inst_exec._s3111, L_inst_exec._s3113, C<0>, C<0>;
L_inst_exec._s3119 .functor OR, L_inst_exec._s3115, L_inst_exec._s3117, C<0>, C<0>;
L_inst_exec._s3123 .functor OR, L_inst_exec._s3119, L_inst_exec._s3121, C<0>, C<0>;
L_inst_exec._s3127 .functor OR, L_inst_exec._s3123, L_inst_exec._s3125, C<0>, C<0>;
L_inst_exec._s3131 .functor OR, L_inst_exec._s3127, L_inst_exec._s3129, C<0>, C<0>;
L_inst_exec._s3139 .functor OR, L_inst_exec._s3134, L_inst_exec._s3137, C<0>, C<0>;
L_inst_exec._s3147 .functor OR, L_inst_exec._s3142, L_inst_exec._s3145, C<0>, C<0>;
L_inst_exec._s3152 .functor OR, L_inst_exec._s3147, L_inst_exec._s3150, C<0>, C<0>;
L_inst_exec._s3157 .functor OR, L_inst_exec._s3152, L_inst_exec._s3155, C<0>, C<0>;
L_inst_exec._s3162 .functor OR, L_inst_exec._s3157, L_inst_exec._s3160, C<0>, C<0>;
L_inst_exec._s3167 .functor OR, L_inst_exec._s3162, L_inst_exec._s3165, C<0>, C<0>;
L_inst_exec._s3172 .functor OR, L_inst_exec._s3167, L_inst_exec._s3170, C<0>, C<0>;
L_inst_exec._s3177 .functor OR, L_inst_exec._s3172, L_inst_exec._s3175, C<0>, C<0>;
L_inst_exec._s3179 .functor OR, L_inst_exec._s3139, C<z>, C<0>, C<0>;
L_inst_exec._s3181 .functor OR, L_inst_exec._s3179, L_inst_exec._s3131, C<0>, C<0>;
L_inst_exec._s3185 .functor OR, L_inst_exec._s3181, L_inst_exec._s3183, C<0>, C<0>;
L_inst_exec._s3189 .functor OR, L_inst_exec._s3185, L_inst_exec._s3187, C<0>, C<0>;
L_inst_exec._s3193 .functor OR, L_inst_exec._s3189, L_inst_exec._s3191, C<0>, C<0>;
L_inst_exec._s3197 .functor OR, L_inst_exec._s3193, L_inst_exec._s3195, C<0>, C<0>;
L_inst_exec._s3201 .functor OR, L_inst_exec._s3197, L_inst_exec._s3199, C<0>, C<0>;
L_inst_exec._s3206 .functor OR, L_inst_exec._s3201, L_inst_exec._s3204, C<0>, C<0>;
L_inst_exec._s3211 .functor AND, L_inst_exec._s3209, L_inst_exec._s1628, C<1>, C<1>;
L_inst_exec._s3213 .functor OR, L_inst_exec._s3206, L_inst_exec._s3211, C<0>, C<0>;
L_inst_exec._s3218 .functor AND, L_inst_exec._s3216, L_inst_exec._s1613, C<1>, C<1>;
L_inst_exec._s3220 .functor OR, L_inst_exec._s3213, L_inst_exec._s3218, C<0>, C<0>;
L_inst_exec._s3236 .functor AND, C<z>, C<z>, C<1>, C<1>;
L_inst_exec._s3238 .functor AND, C<z>, C<z>, C<1>, C<1>;
L_inst_exec._s3244 .functor OR, L_inst_exec._s3240, L_inst_exec._s3242, C<0>, C<0>;
L_inst_exec._s3248 .functor OR, L_inst_exec._s3244, L_inst_exec._s3246, C<0>, C<0>;
L_inst_exec._s3252 .functor OR, L_inst_exec._s3248, L_inst_exec._s3250, C<0>, C<0>;
L_inst_exec._s3256 .functor OR, L_inst_exec._s3252, L_inst_exec._s3254, C<0>, C<0>;
L_inst_exec._s3260 .functor OR, L_inst_exec._s3256, L_inst_exec._s3258, C<0>, C<0>;
L_inst_exec._s3264 .functor OR, L_inst_exec._s3260, L_inst_exec._s3262, C<0>, C<0>;
L_inst_exec._s3268 .functor OR, L_inst_exec._s3264, L_inst_exec._s3266, C<0>, C<0>;
L_inst_exec._s3272 .functor OR, L_inst_exec._s3268, L_inst_exec._s3270, C<0>, C<0>;
L_inst_exec._s3276 .functor OR, L_inst_exec._s3272, L_inst_exec._s3274, C<0>, C<0>;
L_inst_exec._s3280 .functor OR, L_inst_exec._s3276, L_inst_exec._s3278, C<0>, C<0>;
L_inst_exec._s3284 .functor OR, L_inst_exec._s3280, L_inst_exec._s3282, C<0>, C<0>;
L_inst_exec._s3288 .functor OR, L_inst_exec._s3284, L_inst_exec._s3286, C<0>, C<0>;
L_inst_exec._s3292 .functor OR, L_inst_exec._s3288, L_inst_exec._s3290, C<0>, C<0>;
L_inst_exec._s3296 .functor OR, L_inst_exec._s3292, L_inst_exec._s3294, C<0>, C<0>;
L_inst_exec._s3300 .functor OR, L_inst_exec._s3296, L_inst_exec._s3298, C<0>, C<0>;
L_inst_exec._s3304 .functor OR, L_inst_exec._s3300, L_inst_exec._s3302, C<0>, C<0>;
L_inst_exec._s3308 .functor OR, L_inst_exec._s3304, L_inst_exec._s3306, C<0>, C<0>;
L_inst_exec._s3312 .functor OR, L_inst_exec._s3308, L_inst_exec._s3310, C<0>, C<0>;
L_inst_exec._s3316 .functor OR, L_inst_exec._s3312, L_inst_exec._s3314, C<0>, C<0>;
L_inst_exec._s3320 .functor OR, L_inst_exec._s3316, L_inst_exec._s3318, C<0>, C<0>;
L_inst_exec._s3324 .functor OR, L_inst_exec._s3320, L_inst_exec._s3322, C<0>, C<0>;
L_inst_exec._s3328 .functor OR, L_inst_exec._s3324, L_inst_exec._s3326, C<0>, C<0>;
L_inst_exec._s3332 .functor OR, L_inst_exec._s3328, L_inst_exec._s3330, C<0>, C<0>;
L_inst_exec._s3336 .functor OR, L_inst_exec._s3332, L_inst_exec._s3334, C<0>, C<0>;
L_inst_exec._s3340 .functor OR, L_inst_exec._s3336, L_inst_exec._s3338, C<0>, C<0>;
L_inst_exec._s3344 .functor OR, L_inst_exec._s3340, L_inst_exec._s3342, C<0>, C<0>;
L_inst_exec._s3348 .functor OR, L_inst_exec._s3344, L_inst_exec._s3346, C<0>, C<0>;
L_inst_exec._s3352 .functor OR, L_inst_exec._s3348, L_inst_exec._s3350, C<0>, C<0>;
L_inst_exec._s3356 .functor OR, L_inst_exec._s3352, L_inst_exec._s3354, C<0>, C<0>;
L_inst_exec._s3360 .functor OR, L_inst_exec._s3356, L_inst_exec._s3358, C<0>, C<0>;
L_inst_exec._s3364 .functor OR, L_inst_exec._s3360, L_inst_exec._s3362, C<0>, C<0>;
L_inst_exec._s3368 .functor OR, L_inst_exec._s3364, L_inst_exec._s3366, C<0>, C<0>;
L_inst_exec._s3372 .functor OR, L_inst_exec._s3368, L_inst_exec._s3370, C<0>, C<0>;
L_inst_exec._s3376 .functor OR, L_inst_exec._s3372, L_inst_exec._s3374, C<0>, C<0>;
L_inst_exec._s3380 .functor OR, L_inst_exec._s3376, L_inst_exec._s3378, C<0>, C<0>;
L_inst_exec._s3384 .functor OR, L_inst_exec._s3380, L_inst_exec._s3382, C<0>, C<0>;
L_inst_exec._s3388 .functor OR, L_inst_exec._s3384, L_inst_exec._s3386, C<0>, C<0>;
L_inst_exec._s3392 .functor OR, L_inst_exec._s3388, L_inst_exec._s3390, C<0>, C<0>;
L_inst_exec._s3396 .functor OR, L_inst_exec._s3392, L_inst_exec._s3394, C<0>, C<0>;
L_inst_exec._s3400 .functor OR, L_inst_exec._s3396, L_inst_exec._s3398, C<0>, C<0>;
L_inst_exec._s3405 .functor OR, L_inst_exec._s3400, L_inst_exec._s3403, C<0>, C<0>;
L_inst_exec._s3409 .functor OR, L_inst_exec._s3405, L_inst_exec._s3407, C<0>, C<0>;
L_inst_exec._s3413 .functor OR, L_inst_exec._s3409, L_inst_exec._s3411, C<0>, C<0>;
L_inst_exec._s3417 .functor OR, L_inst_exec._s3413, L_inst_exec._s3415, C<0>, C<0>;
L_inst_exec._s3421 .functor OR, L_inst_exec._s3417, L_inst_exec._s3419, C<0>, C<0>;
L_inst_exec._s3426 .functor OR, L_inst_exec._s3421, L_inst_exec._s3424, C<0>, C<0>;
L_inst_exec._s3432 .functor OR, L_inst_exec._s3426, L_inst_exec._s3430, C<0>, C<0>;
L_inst_exec._s3436 .functor OR, L_inst_exec._s3432, L_inst_exec._s3434, C<0>, C<0>;
L_inst_exec._s3440 .functor OR, L_inst_exec._s3436, L_inst_exec._s3438, C<0>, C<0>;
L_inst_exec._s3444 .functor OR, L_inst_exec._s3440, L_inst_exec._s3442, C<0>, C<0>;
L_inst_exec._s3449 .functor OR, L_inst_exec._s3444, L_inst_exec._s3447, C<0>, C<0>;
L_inst_exec._s3453 .functor OR, L_inst_exec._s3449, L_inst_exec._s3451, C<0>, C<0>;
L_inst_exec._s3457 .functor OR, L_inst_exec._s3453, L_inst_exec._s3455, C<0>, C<0>;
L_inst_exec._s3461 .functor OR, L_inst_exec._s3457, L_inst_exec._s3459, C<0>, C<0>;
L_inst_exec._s3465 .functor OR, L_inst_exec._s3461, L_inst_exec._s3463, C<0>, C<0>;
L_inst_exec._s3470 .functor OR, L_inst_exec._s3465, L_inst_exec._s3468, C<0>, C<0>;
L_inst_exec._s3474 .functor OR, L_inst_exec._s3470, L_inst_exec._s3472, C<0>, C<0>;
L_inst_exec._s3478 .functor OR, L_inst_exec._s3474, L_inst_exec._s3476, C<0>, C<0>;
L_inst_exec._s3482 .functor OR, L_inst_exec._s3478, L_inst_exec._s3480, C<0>, C<0>;
L_inst_exec._s3486 .functor OR, L_inst_exec._s3482, L_inst_exec._s3484, C<0>, C<0>;
L_inst_exec._s3491 .functor OR, L_inst_exec._s3486, L_inst_exec._s3489, C<0>, C<0>;
L_inst_exec._s3495 .functor OR, L_inst_exec._s3491, L_inst_exec._s3493, C<0>, C<0>;
L_inst_exec._s3499 .functor OR, L_inst_exec._s3495, L_inst_exec._s3497, C<0>, C<0>;
L_inst_exec._s3503 .functor OR, L_inst_exec._s3499, L_inst_exec._s3501, C<0>, C<0>;
L_inst_exec._s3507 .functor OR, L_inst_exec._s3503, L_inst_exec._s3505, C<0>, C<0>;
L_inst_exec._s3512 .functor OR, L_inst_exec._s3507, L_inst_exec._s3510, C<0>, C<0>;
L_inst_exec._s3516 .functor OR, L_inst_exec._s3512, L_inst_exec._s3514, C<0>, C<0>;
L_inst_exec._s3520 .functor OR, L_inst_exec._s3516, L_inst_exec._s3518, C<0>, C<0>;
L_inst_exec._s3524 .functor OR, L_inst_exec._s3520, L_inst_exec._s3522, C<0>, C<0>;
L_inst_exec._s3528 .functor OR, L_inst_exec._s3524, L_inst_exec._s3526, C<0>, C<0>;
L_inst_exec._s3533 .functor OR, L_inst_exec._s3528, L_inst_exec._s3531, C<0>, C<0>;
L_inst_exec._s3537 .functor OR, L_inst_exec._s3533, L_inst_exec._s3535, C<0>, C<0>;
L_inst_exec._s3541 .functor OR, L_inst_exec._s3537, L_inst_exec._s3539, C<0>, C<0>;
L_inst_exec._s3546 .functor OR, L_inst_exec._s3541, L_inst_exec._s3544, C<0>, C<0>;
L_inst_exec._s3550 .functor OR, L_inst_exec._s3546, L_inst_exec._s3548, C<0>, C<0>;
L_inst_exec._s3555 .functor OR, L_inst_exec._s3550, L_inst_exec._s3553, C<0>, C<0>;
L_inst_exec._s3559 .functor OR, L_inst_exec._s3555, L_inst_exec._s3557, C<0>, C<0>;
L_inst_exec._s3563 .functor OR, L_inst_exec._s3559, L_inst_exec._s3561, C<0>, C<0>;
L_inst_exec._s3568 .functor OR, L_inst_exec._s3563, L_inst_exec._s3566, C<0>, C<0>;
L_inst_exec._s3575 .functor OR, L_inst_exec._s3568, L_inst_exec._s3573, C<0>, C<0>;
L_inst_exec._s3581 .functor OR, L_inst_exec._s3577, L_inst_exec._s3579, C<0>, C<0>;
L_inst_exec._s3585 .functor OR, L_inst_exec._s3581, L_inst_exec._s3583, C<0>, C<0>;
L_inst_exec._s3589 .functor OR, L_inst_exec._s3585, L_inst_exec._s3587, C<0>, C<0>;
L_inst_exec._s3613 .functor OR, L_inst_exec._s3609, L_inst_exec._s3611, C<0>, C<0>;
L_inst_exec._s3617 .functor OR, L_inst_exec._s3613, L_inst_exec._s3615, C<0>, C<0>;
L_inst_exec._s3621 .functor OR, L_inst_exec._s3617, L_inst_exec._s3619, C<0>, C<0>;
L_inst_exec._s3631 .functor OR, L_inst_exec._s3625, L_inst_exec._s3629, C<0>, C<0>;
L_inst_exec._s3637 .functor OR, L_inst_exec._s3631, L_inst_exec._s3635, C<0>, C<0>;
L_inst_exec._s3643 .functor OR, L_inst_exec._s3637, L_inst_exec._s3641, C<0>, C<0>;
L_inst_exec._s3649 .functor OR, L_inst_exec._s3643, L_inst_exec._s3647, C<0>, C<0>;
L_inst_exec._s3655 .functor OR, L_inst_exec._s3649, L_inst_exec._s3653, C<0>, C<0>;
L_inst_exec._s3661 .functor OR, L_inst_exec._s3655, L_inst_exec._s3659, C<0>, C<0>;
L_inst_exec._s3667 .functor OR, L_inst_exec._s3661, L_inst_exec._s3665, C<0>, C<0>;
L_inst_exec._s3675 .functor OR, L_inst_exec._s3670, L_inst_exec._s3673, C<0>, C<0>;
L_inst_exec._s3680 .functor OR, L_inst_exec._s3675, L_inst_exec._s3678, C<0>, C<0>;
L_inst_exec._s3685 .functor OR, L_inst_exec._s3680, L_inst_exec._s3683, C<0>, C<0>;
L_inst_exec._s3693 .functor OR, L_inst_exec._s3688, L_inst_exec._s3691, C<0>, C<0>;
L_inst_exec._s3698 .functor OR, L_inst_exec._s3693, L_inst_exec._s3696, C<0>, C<0>;
L_inst_exec._s3703 .functor OR, L_inst_exec._s3698, L_inst_exec._s3701, C<0>, C<0>;
L_inst_exec._s3713 .functor OR, L_inst_exec._s3575, L_inst_exec._s3589, C<0>, C<0>;
L_inst_exec._s3717 .functor OR, L_inst_exec._s3715, L_inst_exec._s3621, C<0>, C<0>;
L_inst_exec._s3719 .functor OR, L_inst_exec._s3717, L_inst_exec._s3667, C<0>, C<0>;
L_inst_exec._s3724 .functor OR, L_inst_exec._s3719, L_inst_exec._s3722, C<0>, C<0>;
L_inst_exec._s3726 .functor OR, L_inst_exec._s3724, L_inst_exec._s3703, C<0>, C<0>;
L_inst_exec._s3740 .functor OR, L_inst_exec._s3735, L_inst_exec._s3738, C<0>, C<0>;
L_inst_exec._s3744 .functor OR, L_inst_exec._s3740, L_inst_exec._s3742, C<0>, C<0>;
L_inst_exec._s3748 .functor OR, L_inst_exec._s3744, L_inst_exec._s3746, C<0>, C<0>;
L_inst_exec._s3753 .functor OR, L_inst_exec._s3748, L_inst_exec._s3751, C<0>, C<0>;
L_inst_exec._s3757 .functor OR, L_inst_exec._s3753, L_inst_exec._s3755, C<0>, C<0>;
L_inst_exec._s3762 .functor OR, L_inst_exec._s3757, L_inst_exec._s3760, C<0>, C<0>;
L_inst_exec._s3766 .functor OR, L_inst_exec._s3762, L_inst_exec._s3764, C<0>, C<0>;
L_inst_exec._s3768 .functor AND, C<z>, L_inst_exec._s3766, C<1>, C<1>;
L_inst_exec._s460 .functor BUF, L_inst_exec._s418, C<0>, C<0>, C<0>;
L_inst_exec._s461 .functor BUF, L_inst_exec._s419, C<0>, C<0>, C<0>;
L_inst_exec._s462 .functor BUF, L_inst_exec._s420, C<0>, C<0>, C<0>;
L_inst_exec._s463 .functor BUF, L_inst_exec._s421, C<0>, C<0>, C<0>;
L_inst_exec._s464 .functor BUF, L_inst_exec._s422, C<0>, C<0>, C<0>;
L_inst_exec._s465 .functor BUF, L_inst_exec._s423, C<0>, C<0>, C<0>;
L_inst_exec._s466 .functor BUF, L_inst_exec._s424, C<0>, C<0>, C<0>;
L_inst_exec._s467 .functor BUF, L_inst_exec._s425, C<0>, C<0>, C<0>;
L_inst_exec._s468 .functor BUF, L_inst_exec._s426, C<0>, C<0>, C<0>;
L_inst_exec._s469 .functor BUF, L_inst_exec._s427, C<0>, C<0>, C<0>;
L_inst_exec._s470 .functor BUF, L_inst_exec._s428, C<0>, C<0>, C<0>;
L_inst_exec._s471 .functor BUF, L_inst_exec._s429, C<0>, C<0>, C<0>;
L_inst_exec._s472 .functor BUF, L_inst_exec._s430, C<0>, C<0>, C<0>;
L_inst_exec._s473 .functor BUF, L_inst_exec._s431, C<0>, C<0>, C<0>;
L_inst_exec._s474 .functor BUF, L_inst_exec._s432, C<0>, C<0>, C<0>;
L_inst_exec._s533/0/0 .functor OR, L_inst_exec._s1089/9, L_inst_exec._s1089/10, L_inst_exec._s1089/11, L_inst_exec._s1089/12;
L_inst_exec._s533/0/4 .functor OR, L_inst_exec._s1089/13, C<0>, C<0>, C<0>;
L_inst_exec._s533 .functor NOR, L_inst_exec._s533/0/0, L_inst_exec._s533/0/4, C<0>, C<0>;
L_inst_exec._s650 .functor BUF, L_inst_exec._s635, C<0>, C<0>, C<0>;
L_inst_exec._s678 .functor BUF, L_inst_exec._s668, C<0>, C<0>, C<0>;
L_inst_exec._s785 .functor BUF, L_inst_exec._s754, C<0>, C<0>, C<0>;
L_inst_exec._s790 .functor BUF, L_inst_exec._s736, C<0>, C<0>, C<0>;
L_inst_exec._s801 .functor BUF, L_inst_exec._s747, C<0>, C<0>, C<0>;
L_inst_exec._s844 .functor BUF, L_inst_exec._s790, C<0>, C<0>, C<0>;
L_inst_exec._s854 .functor BUF, L_inst_exec._s800, C<0>, C<0>, C<0>;
L_inst_exec._s855 .functor BUF, L_inst_exec._s801, C<0>, C<0>, C<0>;
L_inst_exec._s898 .functor BUF, L_inst_exec._s844, C<0>, C<0>, C<0>;
L_inst_exec._s908 .functor BUF, L_inst_exec._s854, C<0>, C<0>, C<0>;
L_inst_exec._s909 .functor BUF, L_inst_exec._s855, C<0>, C<0>, C<0>;
L_inst_exec._s924 .functor BUF, L_inst_exec._s916, C<0>, C<0>, C<0>;
L_inst_exec._s970 .functor BUF, L_inst_exec._s962, C<0>, C<0>, C<0>;
L_inst_exec._s1029 .functor BUF, L_inst_exec._s985, C<0>, C<0>, C<0>;
L_inst_exec._s1057 .functor BUF, L_inst_exec._s1047, C<0>, C<0>, C<0>;
L_inst_exec._s1094 .functor NOT, L_inst_exec._s1380, C<0>, C<0>, C<0>;
L_inst_exec._s1098 .functor BUF, L_inst_exec._s1147[3], C<0>, C<0>, C<0>;
L_inst_exec._s1194 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1195 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1196 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1197 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1198 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1199 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1200 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1201 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1202 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1203 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1204 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1205 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1206 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1207 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1208 .functor BUF, L_inst_exec._s1182, C<0>, C<0>, C<0>;
L_inst_exec._s1216 .functor BUF, L_inst_exec._s1195, C<0>, C<0>, C<0>;
L_inst_exec._s1217 .functor BUF, L_inst_exec._s1196, C<0>, C<0>, C<0>;
L_inst_exec._s1218 .functor BUF, L_inst_exec._s1197, C<0>, C<0>, C<0>;
L_inst_exec._s1219 .functor BUF, L_inst_exec._s1198, C<0>, C<0>, C<0>;
L_inst_exec._s1220 .functor BUF, L_inst_exec._s1199, C<0>, C<0>, C<0>;
L_inst_exec._s1221 .functor BUF, L_inst_exec._s1200, C<0>, C<0>, C<0>;
L_inst_exec._s1222 .functor BUF, L_inst_exec._s1201, C<0>, C<0>, C<0>;
L_inst_exec._s1223 .functor BUF, L_inst_exec._s1202, C<0>, C<0>, C<0>;
L_inst_exec._s1224 .functor BUF, L_inst_exec._s1203, C<0>, C<0>, C<0>;
L_inst_exec._s1225 .functor BUF, L_inst_exec._s1204, C<0>, C<0>, C<0>;
L_inst_exec._s1226 .functor BUF, L_inst_exec._s1205, C<0>, C<0>, C<0>;
L_inst_exec._s1227 .functor BUF, L_inst_exec._s1206, C<0>, C<0>, C<0>;
L_inst_exec._s1228 .functor BUF, L_inst_exec._s1207, C<0>, C<0>, C<0>;
L_inst_exec._s1229 .functor BUF, L_inst_exec._s1208, C<0>, C<0>, C<0>;
L_inst_exec._s1247 .functor BUF, L_inst_exec._s1235, C<0>, C<0>, C<0>;
L_inst_exec._s1267 .functor BUF, L_inst_exec._s1216, C<0>, C<0>, C<0>;
L_inst_exec._s1268 .functor BUF, L_inst_exec._s1217, C<0>, C<0>, C<0>;
L_inst_exec._s1269 .functor BUF, L_inst_exec._s1218, C<0>, C<0>, C<0>;
L_inst_exec._s1270 .functor BUF, L_inst_exec._s1219, C<0>, C<0>, C<0>;
L_inst_exec._s1271 .functor BUF, L_inst_exec._s1220, C<0>, C<0>, C<0>;
L_inst_exec._s1272 .functor BUF, L_inst_exec._s1221, C<0>, C<0>, C<0>;
L_inst_exec._s1273 .functor BUF, L_inst_exec._s1222, C<0>, C<0>, C<0>;
L_inst_exec._s1274 .functor BUF, L_inst_exec._s1223, C<0>, C<0>, C<0>;
L_inst_exec._s1275 .functor BUF, L_inst_exec._s1224, C<0>, C<0>, C<0>;
L_inst_exec._s1276 .functor BUF, L_inst_exec._s1225, C<0>, C<0>, C<0>;
L_inst_exec._s1277 .functor BUF, L_inst_exec._s1226, C<0>, C<0>, C<0>;
L_inst_exec._s1278 .functor BUF, L_inst_exec._s1227, C<0>, C<0>, C<0>;
L_inst_exec._s1279 .functor BUF, L_inst_exec._s1228, C<0>, C<0>, C<0>;
L_inst_exec._s1280 .functor BUF, L_inst_exec._s1229, C<0>, C<0>, C<0>;
L_inst_exec._s1316 .functor BUF, L_inst_exec._s1296, C<0>, C<0>, C<0>;
L_inst_exec._s1319 .functor BUF, L_inst_exec._s1267, C<0>, C<0>, C<0>;
L_inst_exec._s1320 .functor BUF, L_inst_exec._s1268, C<0>, C<0>, C<0>;
L_inst_exec._s1321 .functor BUF, L_inst_exec._s1269, C<0>, C<0>, C<0>;
L_inst_exec._s1322 .functor BUF, L_inst_exec._s1270, C<0>, C<0>, C<0>;
L_inst_exec._s1323 .functor BUF, L_inst_exec._s1271, C<0>, C<0>, C<0>;
L_inst_exec._s1324 .functor BUF, L_inst_exec._s1272, C<0>, C<0>, C<0>;
L_inst_exec._s1325 .functor BUF, L_inst_exec._s1273, C<0>, C<0>, C<0>;
L_inst_exec._s1326 .functor BUF, L_inst_exec._s1274, C<0>, C<0>, C<0>;
L_inst_exec._s1327 .functor BUF, L_inst_exec._s1275, C<0>, C<0>, C<0>;
L_inst_exec._s1328 .functor BUF, L_inst_exec._s1276, C<0>, C<0>, C<0>;
L_inst_exec._s1329 .functor BUF, L_inst_exec._s1277, C<0>, C<0>, C<0>;
L_inst_exec._s1330 .functor BUF, L_inst_exec._s1278, C<0>, C<0>, C<0>;
L_inst_exec._s1331 .functor BUF, L_inst_exec._s1279, C<0>, C<0>, C<0>;
L_inst_exec._s1332 .functor BUF, L_inst_exec._s1280, C<0>, C<0>, C<0>;
L_inst_exec._s1367 .functor BUF, L_inst_exec._s1319, C<0>, C<0>, C<0>;
L_inst_exec._s1368 .functor BUF, L_inst_exec._s1320, C<0>, C<0>, C<0>;
L_inst_exec._s1369 .functor BUF, L_inst_exec._s1321, C<0>, C<0>, C<0>;
L_inst_exec._s1370 .functor BUF, L_inst_exec._s1322, C<0>, C<0>, C<0>;
L_inst_exec._s1371 .functor BUF, L_inst_exec._s1323, C<0>, C<0>, C<0>;
L_inst_exec._s1372 .functor BUF, L_inst_exec._s1324, C<0>, C<0>, C<0>;
L_inst_exec._s1373 .functor BUF, L_inst_exec._s1325, C<0>, C<0>, C<0>;
L_inst_exec._s1374 .functor BUF, L_inst_exec._s1326, C<0>, C<0>, C<0>;
L_inst_exec._s1375 .functor BUF, L_inst_exec._s1327, C<0>, C<0>, C<0>;
L_inst_exec._s1376 .functor BUF, L_inst_exec._s1328, C<0>, C<0>, C<0>;
L_inst_exec._s1377 .functor BUF, L_inst_exec._s1329, C<0>, C<0>, C<0>;
L_inst_exec._s1378 .functor BUF, L_inst_exec._s1330, C<0>, C<0>, C<0>;
L_inst_exec._s1379 .functor BUF, L_inst_exec._s1331, C<0>, C<0>, C<0>;
L_inst_exec._s1380 .functor BUF, L_inst_exec._s1332, C<0>, C<0>, C<0>;
L_inst_exec._s1508 .functor BUF, L_inst_exec._s1479, C<0>, C<0>, C<0>;
L_inst_exec._s1607 .functor BUF, L_inst_exec._s1576, C<0>, C<0>, C<0>;
L_inst_exec._s1640 .functor BUF, L_inst_exec._s1633, C<0>, C<0>, C<0>;
L_inst_exec._s1668 .functor BUF, L_inst_exec._s1640, C<0>, C<0>, C<0>;
L_inst_exec._s1696 .functor BUF, L_inst_exec._s1668, C<0>, C<0>, C<0>;
L_inst_exec._s1724 .functor BUF, L_inst_exec._s1696, C<0>, C<0>, C<0>;
L_inst_exec._s1752 .functor BUF, L_inst_exec._s1724, C<0>, C<0>, C<0>;
L_inst_exec._s1780 .functor BUF, L_inst_exec._s1752, C<0>, C<0>, C<0>;
L_inst_exec._s1808 .functor BUF, L_inst_exec._s1780, C<0>, C<0>, C<0>;
L_inst_exec._s1836 .functor BUF, L_inst_exec._s1808, C<0>, C<0>, C<0>;
L_inst_exec._s1946 .functor NOT, V_$00841610[1], C<0>, C<0>, C<0>;
L_inst_exec._s1952 .functor NOT, V_$00841610[1], C<0>, C<0>, C<0>;
L_inst_exec._s1975 .functor NOT, V_$00841610[1], C<0>, C<0>, C<0>;
L_inst_exec._s1981 .functor NOT, V_$00841610[1], C<0>, C<0>, C<0>;
L_inst_exec._s1992 .functor NOT, V_$00841610[1], C<0>, C<0>, C<0>;
L_inst_exec._s2010 .functor NOT, V_$00841610[0], C<0>, C<0>, C<0>;
L_inst_exec._s2020 .functor NOT, V_$00841610[4], C<0>, C<0>, C<0>;
L_inst_exec._s2468 .functor BUF, L_inst_exec._s2453, C<0>, C<0>, C<0>;
L_inst_exec._s2523 .functor BUF, L_inst_exec._s2513, C<0>, C<0>, C<0>;
L_inst_exec._s2646 .functor BUF, L_inst_exec._s2632, C<0>, C<0>, C<0>;
L_inst_exec._s2747 .functor BUF, L_inst_exec._s2733, C<0>, C<0>, C<0>;
L_inst_exec._s2969 .functor BUF, L_inst_exec._s2955, C<0>, C<0>, C<0>;
L_inst_exec._s3103 .functor BUF, L_inst_exec._s3089, C<0>, C<0>, C<0>;
L_inst_exec._s3107 .functor NOT, L_inst_exec._s3105, C<0>, C<0>, C<0>;
L_inst_exec._s3234 .functor BUF, L_inst_exec._s3220, C<0>, C<0>, C<0>;
L_inst_exec._s3715 .functor BUF, L_inst_exec._s3713, C<0>, C<0>, C<0>;
L_inst_exec._s3735 .functor BUF, L_inst_exec._s3726, C<0>, C<0>, C<0>;
V_$00824B40 .net "add16", 15, 0, L_inst_exec._s1115[0], L_inst_exec._s1115[1], L_inst_exec._s1115[2], L_inst_exec._s1115[3], L_inst_exec._s1115[4], L_inst_exec._s1115[5], L_inst_exec._s1115[6], L_inst_exec._s1115[7], L_inst_exec._s1131[0], L_inst_exec._s1131[1], L_inst_exec._s1131[2], L_inst_exec._s1131[3], L_inst_exec._s1147[0], L_inst_exec._s1147[1], L_inst_exec._s1147[2], L_inst_exec._s1147[3];
V_$00826BE0 .net "add16_ofl", 0, 0, L_inst_exec._s1100;
V_$00828A40 .net "add_8bit", 7, 0, L_inst_exec._s576[0], L_inst_exec._s576[1], L_inst_exec._s576[2], L_inst_exec._s576[3], L_inst_exec._s592[0], L_inst_exec._s592[1], L_inst_exec._s592[2], L_inst_exec._s592[3];
V_$0082A978 .net "alu8_cry", 0, 0, C<0>;
V_$0082C770 .net "alu8_fr", 7, 0, L_inst_exec._s592[4], L_inst_exec._s561, C<0>, L_inst_exec._s1089/12, C<0>, C<0>, L_inst_exec._s533, C<0>;
V_$0082EC48 .net "alu8_hcry", 0, 0, C<0>;
V_$00831120 .net "alu8_nf", 0, 0, L_inst_exec._s561;
V_$008337A8 .net "alu8_out", 7, 0, L_inst_exec._s1089/9, L_inst_exec._s1089/10, L_inst_exec._s1089/11, L_inst_exec._s1089/12, L_inst_exec._s1089/13, C<0>, C<0>, C<0>;
V_$00835E30 .var "ap", 7, 0;
V_$008384B8 .var "ar", 7, 0;
V_$0083AB40 .net "bit_alu", 7, 0, L_inst_exec._s1921, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0083B3C0 .net "bit_alu_act", 0, 0, L_inst_exec._s1628;
V_$00840DE8 .net "bit_decode", 0, 0, L_inst_exec._s1836;
V_$0076E428 .var "bp", 7, 0;
V_$0076D350 .var "br", 7, 0;
V_$0076C0C8 .net "c_16in0", 0, 0, L_inst_exec._s1364;
V_$005E9D60 .net "c_16out11", 0, 0, L_inst_exec._s1131[4];
V_$00802780 .net "c_16out15", 0, 0, L_inst_exec._s1147[4];
V_$0076BF48 .net "c_16out7", 0, 0, L_inst_exec._s1115[8];
V_$00781FB0 .net "c_8out3", 0, 0, L_inst_exec._s576[4];
V_$00768358 .net "c_8out7", 0, 0, L_inst_exec._s592[4];
V_$007559C0 .net "c_out11", 0, 0, C<z>;
V_$0073ED20 .net "cf", 0, 0, V_$00841610[0];
V_$006B8E88 .net "clk", 0, 0, C<z>;
V_$006A4E00 .var "cp", 7, 0;
V_$00639CB8 .var "cr", 7, 0;
V_$00632940 .net "cr_eq0", 0, 0, C<z>;
V_$005EE088 .net "daa_alu", 7, 0, L_inst_exec._s2048[0], L_inst_exec._s2048[1], L_inst_exec._s2048[2], L_inst_exec._s2048[3], L_inst_exec._s2048[4], L_inst_exec._s2048[5], L_inst_exec._s2048[6], L_inst_exec._s2048[7];
V_$00628E58 .net "daa_cry", 0, 0, L_inst_exec._s2044/4;
V_$005F3680 .net "dd_grp", 0, 0, C<z>;
V_$0065B178 .net "decb_alu", 7, 0, L_inst_exec._s1943[0], L_inst_exec._s1943[1], L_inst_exec._s1943[2], L_inst_exec._s1943[3], L_inst_exec._s1943[4], L_inst_exec._s1943[5], L_inst_exec._s1943[6], L_inst_exec._s1943[7];
V_$0065F348 .net "decc_alu", 7, 0, L_inst_exec._s1931[0], L_inst_exec._s1931[1], L_inst_exec._s1931[2], L_inst_exec._s1931[3], L_inst_exec._s1931[4], L_inst_exec._s1931[5], L_inst_exec._s1931[6], L_inst_exec._s1931[7];
V_$00654D40 .var "dp", 7, 0;
V_$0065BD38 .var "dr", 7, 0;
V_$00650AD0 .net "eb_blk_mv", 0, 0, L_inst_exec._s3685;
V_$0064C850 .var "ep", 7, 0;
V_$00643940 .var "er", 7, 0;
V_$0064F2E8 .net "exec_decb", 0, 0, C<z>;
V_$006470D0 .net "exec_decbc", 0, 0, C<z>;
V_$008033B8 .net "exec_hlir2", 0, 0, L_inst_exec._s3109;
V_$0066D540 .net "exec_ir2", 0, 0, C<z>;
V_$00636B28 .net "exec_ixir2", 0, 0, L_inst_exec._s3236;
V_$00634860 .net "exec_iyir2", 0, 0, L_inst_exec._s3238;
V_$00634610 .net "f3f", 0, 0, V_$00841610[3];
V_$00634290 .net "f5f", 0, 0, V_$00841610[5];
V_$005FF010 .net "fd_grp", 0, 0, C<z>;
V_$00842228 .var "fp", 7, 0;
V_$00841610 .var "fr", 7, 0;
V_$00668600 .net "hf", 0, 0, V_$00841610[4];
V_$00667538 .var "hp", 7, 0;
V_$006AFC08 .var "hr", 7, 0;
V_$0069FF10 .net "iff2", 0, 0, C<0>;
V_$00693AD0 .var "intr", 7, 0;
V_$00665580 .net "ir2", 9, 0, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>;
V_$00782F30 .var "ixr", 15, 0;
V_$005F5B10 .var "iyr", 15, 0;
V_$007C2F50 .var "lp", 7, 0;
V_$00801BB8 .var "lr", 7, 0;
V_$00755D50 .net "ls_nbl", 3, 0, L_inst_exec._s1973/0, L_inst_exec._s1973/1, L_inst_exec._s1973/2, L_inst_exec._s1973/3;
V_$005FF390 .net "ms_nbl", 4, 0, L_inst_exec._s2044/0, L_inst_exec._s2044/1, L_inst_exec._s2044/2, L_inst_exec._s2044/3, L_inst_exec._s2044/4;
V_$00685890 .net "nf", 0, 0, V_$00841610[1];
V_$00684308 .net "nn", 15, 0, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>;
V_$0065BB08 .net "pvf", 0, 0, V_$00841610[2];
V_$006C8130 .net "sf", 0, 0, V_$00841610[7];
V_$006C7F60 .net "sh_alu", 7, 0, L_inst_exec._s1600, L_inst_exec._s1601, L_inst_exec._s1602, L_inst_exec._s1603, L_inst_exec._s1604, L_inst_exec._s1605, L_inst_exec._s1606, L_inst_exec._s1607;
V_$0065F3F0 .net "sh_alu_act", 0, 0, L_inst_exec._s1613;
V_$0065BDE0 .net "sh_cry", 0, 0, L_inst_exec._s1608;
V_$006A55A8 .net "sh_src", 7, 0, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>;
V_$0061CE70 .net "sp", 15, 0, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>;
V_$0060A828 .net "src_a", 15, 0, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>;
V_$0082ECD0 .net "src_aor_cnst", 7, 0, L_inst_exec._s530/0, L_inst_exec._s530/1, L_inst_exec._s530/2, L_inst_exec._s530/3, L_inst_exec._s530/4, L_inst_exec._s530/5, L_inst_exec._s530/6, L_inst_exec._s530/7;
V_$0083ABE8 .net "src_b", 15, 0, L_inst_exec._s1365, L_inst_exec._s1366, L_inst_exec._s1367, L_inst_exec._s1368, L_inst_exec._s1369, L_inst_exec._s1370, L_inst_exec._s1371, L_inst_exec._s1372, L_inst_exec._s1373, L_inst_exec._s1374, L_inst_exec._s1375, L_inst_exec._s1376, L_inst_exec._s1377, L_inst_exec._s1378, L_inst_exec._s1379, L_inst_exec._s1380;
V_$00695658 .net "src_cb_r20", 0, 0, L_inst_exec._s23/0;
V_$00693098 .net "src_dbl", 0, 0, L_inst_exec._s499;
V_$00691E60 .net "src_dblhr", 0, 0, L_inst_exec._s16/0;
V_$00691EC8 .net "src_hr", 7, 0, L_inst_exec._s5/0, L_inst_exec._s5/1, L_inst_exec._s5/2, L_inst_exec._s5/3, L_inst_exec._s5/4, L_inst_exec._s5/5, L_inst_exec._s5/6, L_inst_exec._s5/7;
V_$00683770 .net "src_lr", 7, 0, L_inst_exec._s11/0, L_inst_exec._s11/1, L_inst_exec._s11/2, L_inst_exec._s11/3, L_inst_exec._s11/4, L_inst_exec._s11/5, L_inst_exec._s11/6, L_inst_exec._s11/7;
V_$00817C70 .net "src_pqr", 7, 0, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>, C<z>;
V_$00801508 .net "src_pqr20", 0, 0, L_inst_exec._s192;
V_$00801588 .net "src_pqr53", 0, 0, L_inst_exec._s360;
V_$007FF660 .net "src_pqri", 7, 0, L_inst_exec._s1089/1, L_inst_exec._s1089/2, L_inst_exec._s1089/3, L_inst_exec._s1089/4, L_inst_exec._s1089/5, L_inst_exec._s1089/6, L_inst_exec._s1089/7, L_inst_exec._s1089/8;
V_$007B0558 .net "up_a_n", 0, 0, L_inst_exec._s2468;
V_$007AEC58 .net "up_a_sh_alu", 0, 0, L_inst_exec._s2392;
V_$007AECD8 .net "up_a_src_pqr", 0, 0, L_inst_exec._s2430;
V_$007AB7B8 .net "up_b_add16", 0, 0, L_inst_exec._s2590;
V_$007AB5E0 .net "up_b_src_pqr", 0, 0, L_inst_exec._s2582;
V_$007A4488 .net "up_c_add16", 0, 0, L_inst_exec._s2652;
V_$007A44F0 .net "up_c_src_pqr", 0, 0, L_inst_exec._s2698;
V_$0079DBD8 .net "up_d_add16", 0, 0, C<1>;
V_$00790C28 .net "up_d_src_pqr", 0, 0, L_inst_exec._s2809;
V_$00790CA8 .net "up_e_add16", 0, 0, L_inst_exec._s2871;
V_$0078B950 .net "up_e_src_pqr", 0, 0, L_inst_exec._s2917;
V_$00785030 .net "up_h_add16", 0, 0, L_inst_exec._s2997;
V_$00783748 .net "up_l_add16", 0, 0, L_inst_exec._s3131;
V_$007837B0 .net "upd_a_alu8", 0, 0, L_inst_exec._s2374;
V_$00782628 .net "upd_ar", 0, 0, L_inst_exec._s2536;
V_$0076EDA8 .net "upd_b_alu8", 0, 0, L_inst_exec._s2544;
V_$0076EE28 .net "upd_br", 0, 0, L_inst_exec._s2646;
V_$0076CAA0 .net "upd_c_alu8", 0, 0, L_inst_exec._s2660;
V_$0076AB80 .net "upd_cr", 0, 0, L_inst_exec._s2747;
V_$00760128 .net "upd_d_alu8", 0, 0, C<1>;
V_$00760190 .net "upd_dr", 0, 0, C<1>;
V_$00759878 .net "upd_e_alu8", 0, 0, L_inst_exec._s2879;
V_$00757F78 .net "upd_er", 0, 0, L_inst_exec._s2969;
V_$00757FF8 .net "upd_fr", 0, 0, L_inst_exec._s3768;
V_$00756E70 .net "upd_fr_add16", 0, 0, L_inst_exec._s3589;
V_$00750600 .net "upd_fr_alu8", 0, 0, L_inst_exec._s3575;
V_$00749750 .net "upd_fr_cbsh", 0, 0, L_inst_exec._s3667;
V_$007497B8 .net "upd_fr_edadd16", 0, 0, C<0>;
V_$00742EA8 .net "upd_fr_sh", 0, 0, L_inst_exec._s3621;
V_$007415A0 .net "upd_h_alu8", 0, 0, L_inst_exec._s3005;
V_$00741620 .net "upd_h_src_pqr", 0, 0, L_inst_exec._s3043;
V_$00733330 .net "upd_hr", 0, 0, L_inst_exec._s3103;
V_$0072DA90 .net "upd_l_alu8", 0, 0, L_inst_exec._s3139;
V_$00727188 .net "upd_l_src_pqr", 0, 0, L_inst_exec._s3177;
V_$007271F0 .net "upd_lr", 0, 0, L_inst_exec._s3234;
V_$0071F380 .net "zf", 0, 0, V_$00841610[6];
V_$0068AF98 .net "ddcb_grp", 0, 0, C<z>;
V_$0068AE68 .net "fdcb_grp", 0, 0, C<z>;
V_$0068ADB0 .net "cb_grp", 0, 0, C<z>;
V_$0068ACF8 .net "REG8_B", 2, 0, C<0>, C<0>, C<0>;
V_$00687A70 .net "REG8_C", 2, 0, C<1>, C<0>, C<0>;
V_$00683A30 .net "REG8_D", 2, 0, C<0>, C<1>, C<0>;
V_$00679090 .net "REG8_E", 2, 0, C<1>, C<1>, C<0>;
V_$00663E30 .net "REG8_H", 2, 0, C<0>, C<0>, C<1>;
V_$00675548 .net "REG8_L", 2, 0, C<1>, C<0>, C<1>;
V_$00629868 .net "REG8_MEM", 2, 0, C<0>, C<1>, C<1>;
V_$00647FD8 .net "REG8_A", 2, 0, C<1>, C<1>, C<1>;
V_$00633AA0 .net "src_sshr", 0, 0, C<z>;
V_$0073EF50 .net "ed_blk_cp", 0, 0, L_inst_exec._s3703;
V_$006C7898 .net "ed_grp", 0, 0, C<z>;
V_$006B8B78 .net "c_8in0", 0, 0, L_inst_exec._s1089/0;
V_$00855898 .net "a", 0, 0, C<z>;
V_$00861AF0 .net "b1", 0, 0, C<z>;
V_$00861178 .net "CB_BIT", 3, 0, C<1>, C<0>, C<1>, C<0>;
V_$00861118 .net "CB_RES", 3, 0, C<0>, C<1>, C<1>, C<0>;
V_$00868C60 .net "shPsrc", 0, 0, C<z>;
V_$00870F20 .net "ADDsA_B", 9, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0086FD70 .net "SUBsB", 9, 0, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00871958 .net "ANDsB", 9, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$0086B050 .net "ORsB", 9, 0, C<0>, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$0086C008 .net "ADDsA_C", 9, 0, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0086C098 .net "SUBsC", 9, 0, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0086D3C0 .net "ANDsC", 9, 0, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$0086CF28 .net "ORsC", 9, 0, C<1>, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$0086E028 .net "ADDsA_D", 9, 0, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0086E0B8 .net "SUBsD", 9, 0, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0086EFF8 .net "ANDsD", 9, 0, C<0>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$0086F440 .net "ORsD", 9, 0, C<0>, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00870008 .net "ADDsA_E", 9, 0, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$008708E8 .net "SUBsE", 9, 0, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$008704A0 .net "ANDsE", 9, 0, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008718B8 .net "ORsE", 9, 0, C<1>, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00871420 .net "ADDsA_H", 9, 0, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00871A08 .net "SUBsH", 9, 0, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00873ED0 .net "ANDsH", 9, 0, C<0>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00873A38 .net "ORsH", 9, 0, C<0>, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00873C68 .net "ADDsA_L", 9, 0, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00874A28 .net "SUBsL", 9, 0, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00876F60 .net "ANDsL", 9, 0, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00875E78 .net "ORsL", 9, 0, C<1>, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00877A60 .net "ADDsA_6HL7", 9, 0, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00872710 .net "SUBs6HL7", 9, 0, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00872B90 .net "ANDs6HL7", 9, 0, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00873298 .net "ORs6HL7", 9, 0, C<0>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00873718 .net "ADDsA_A", 9, 0, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00874080 .net "SUBsA", 9, 0, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00874520 .net "ANDsA", 9, 0, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008759E8 .net "ORsA", 9, 0, C<1>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008754D0 .net "ADCsA_B", 9, 0, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00876028 .net "SBCsB", 9, 0, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00876380 .net "XORsB", 9, 0, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00876828 .net "ADCsA_C", 9, 0, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00877258 .net "SBCsC", 9, 0, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$008776F8 .net "XORsC", 9, 0, C<1>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008786B8 .net "INCsA", 9, 0, C<0>, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$00878AE8 .net "ADCsA_D", 9, 0, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0087AF78 .net "SBCsD", 9, 0, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0087BF68 .net "XORsD", 9, 0, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$0087AEE8 .net "DECsA", 9, 0, C<1>, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$0087BF00 .net "ADCsA_E", 9, 0, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00877D18 .net "SBCsE", 9, 0, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00878198 .net "XORsE", 9, 0, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00879058 .net "ADCsA_H", 9, 0, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00879508 .net "SBCsH", 9, 0, C<0>, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0087A008 .net "XORsH", 9, 0, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$0087A2E0 .net "ADCsA_L", 9, 0, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0087A780 .net "SBCsL", 9, 0, C<1>, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0087B048 .net "XORsL", 9, 0, C<1>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$0087B4F8 .net "ADCsA_6HL7", 9, 0, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00891CD0 .net "SBCs6HL7", 9, 0, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00890DB0 .net "XORs6HL7", 9, 0, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$0087DD90 .net "ADCsA_A", 9, 0, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$0087DAE8 .net "SBCsA", 9, 0, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>;
V_$00890B40 .net "XORsA", 9, 0, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$00890458 .net "ADDsA_N", 9, 0, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>;
V_$008908D8 .net "ADCsA_N", 9, 0, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>;
V_$00891220 .net "SUBsN", 9, 0, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>;
V_$008916D0 .net "SBCsA_N", 9, 0, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>;
V_$0087CA28 .net "ANDsN", 9, 0, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>;
V_$0087C2F8 .net "XORsN", 9, 0, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>;
V_$0087C7A8 .net "ORsN", 9, 0, C<0>, C<1>, C<1>, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>;
V_$0087D868 .net "RLCA", 9, 0, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0087D0F8 .net "RRCA", 9, 0, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0087D578 .net "RRA", 9, 0, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$00892D38 .net "RLA", 9, 0, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0087FB50 .net "LDsA_B", 9, 0, C<0>, C<0>, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$00893BB8 .net "LDsA_C", 9, 0, C<1>, C<0>, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$00895F58 .net "LDsA_D", 9, 0, C<0>, C<1>, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$00895E90 .net "LDsA_E", 9, 0, C<1>, C<1>, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$00897CD8 .net "LDsA_H", 9, 0, C<0>, C<0>, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$0087EA38 .net "LDsA_L", 9, 0, C<1>, C<0>, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$0087E318 .net "LDsA_6HL7", 9, 0, C<0>, C<1>, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$0089AD38 .net "LDsA_A", 9, 0, C<1>, C<1>, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$0087E908 .net "LDsA_N", 9, 0, C<0>, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$00899BB8 .net "LDsA_6BC7", 9, 0, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0089BAA0 .net "LDsA_6DE7", 9, 0, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0089DBF8 .net "LDsA_6NN7", 9, 0, C<0>, C<1>, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$0089EB48 .net "INsA_6N7", 9, 0, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>;
V_$008A0C10 .net "EXsAF_AFp", 9, 0, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008A3D70 .net "EXX", 9, 0, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>;
V_$008A2DA0 .net "DAA", 9, 0, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008A5CF8 .net "CPL", 9, 0, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008A6E10 .net "POPsAF", 9, 0, C<1>, C<0>, C<0>, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>;
V_$008A7BC0 .net "ED_RRD", 9, 0, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>;
V_$008A8D28 .net "ED_NEG", 6, 0, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>;
V_$008A9E20 .net "ED_LDsA_I", 9, 0, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>;
V_$008AAF98 .net "INCsB", 9, 0, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0087F230 .net "DECsB", 9, 0, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0087F3B8 .net "LDsB_B", 9, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008ADFB8 .net "LDsB_C", 9, 0, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$00892AA0 .net "LDsB_D", 9, 0, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008B0E08 .net "LDsB_E", 9, 0, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008B2DF0 .net "LDsB_H", 9, 0, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008B3E88 .net "LDsB_L", 9, 0, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008B5C10 .net "LDsB_6HL7", 9, 0, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008B6E68 .net "LDsB_A", 9, 0, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$00892508 .net "INCsBC", 9, 0, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008926E0 .net "DECsBC", 9, 0, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008BAEA0 .net "LDsBC_NN", 9, 0, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008BCE60 .net "POPsBC", 9, 0, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>;
V_$008BDEF0 .net "LDsB_N", 9, 0, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$00893050 .net "DJNZs$t2", 9, 0, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008C4C10 .net "INCsC", 9, 0, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008C5E70 .net "DECsC", 9, 0, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008C6EC0 .net "LDsC_B", 9, 0, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008C7EB0 .net "LDsC_C", 9, 0, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$00894C08 .net "LDsC_D", 9, 0, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008C9ED0 .net "LDsC_E", 9, 0, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008CAF68 .net "LDsC_H", 9, 0, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008CCEF8 .net "LDsC_L", 9, 0, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008CDF70 .net "LDsC_6HL7", 9, 0, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008CFEC0 .net "LDsC_A", 9, 0, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$00895110 .net "LDsC_N", 9, 0, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008D9F18 .net "INCsDE", 9, 0, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$00895598 .net "DECsDE", 9, 0, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008969A0 .net "INCsD", 9, 0, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008960D8 .net "DECsD", 9, 0, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$00896370 .net "LDsD_B", 9, 0, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008DCF78 .net "LDsD_C", 9, 0, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008979F0 .net "LDsD_D", 9, 0, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008DFF90 .net "LDsD_E", 9, 0, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$00897488 .net "LDsD_H", 9, 0, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008E2FA8 .net "LDsD_L", 9, 0, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$00898B48 .net "LDsD_6HL7", 9, 0, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008E5FC0 .net "LDsD_A", 9, 0, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$008E6FC8 .net "LDsDE_NN", 9, 0, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$008E7FC0 .net "POPsDE", 9, 0, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>;
V_$00898D68 .net "EXsDE_HL", 9, 0, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>;
V_$008990E8 .net "LDsD_N", 9, 0, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0089A030 .net "INCsE", 9, 0, C<0>, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0089A0C0 .net "DECsE", 9, 0, C<1>, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0089A498 .net "LDsE_B", 9, 0, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$0089A850 .net "LDsE_C", 9, 0, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$0089B9C8 .net "LDsE_D", 9, 0, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$0089B1A0 .net "LDsE_E", 9, 0, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$0089B638 .net "LDsE_H", 9, 0, C<0>, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$0089C088 .net "LDsE_L", 9, 0, C<1>, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$0089C538 .net "LDsE_6HL7", 9, 0, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$0089CF10 .net "LDsE_A", 9, 0, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>;
V_$0089E5F8 .net "ADDsHL_BC", 9, 0, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0089DD80 .net "ADDsHL_DE", 9, 0, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>, C<0>;
V_$0089E230 .net "ADDsHL_HL", 9, 0, C<1>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$0089FA38 .net "ADDsHL_SP", 9, 0, C<1>, C<0>, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$0089F1E0 .net "INCsHL", 9, 0, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$0089F690 .net "DECsHL", 9, 0, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008A0868 .net "INCsH", 9, 0, C<0>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$0089FF88 .net "DECsH", 9, 0, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008A03B8 .net "LDsH_B", 9, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A1938 .net "LDsH_C", 9, 0, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A1120 .net "LDsH_D", 9, 0, C<0>, C<1>, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A15A0 .net "LDsH_E", 9, 0, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A27D8 .net "LDsH_H", 9, 0, C<0>, C<0>, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A1FC0 .net "LDsH_L", 9, 0, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A2460 .net "LDsH_6HL7", 9, 0, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A3A88 .net "LDsH_A", 9, 0, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A3190 .net "up_h_src_pqr", 0, 0, C<z>;
V_$008A3310 .net "LDsHL_NN", 9, 0, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008A37B8 .net "LDsHL_6NN7", 9, 0, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008A4BF8 .net "POPsHL", 9, 0, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<1>, C<1>, C<0>, C<0>;
V_$008A45B8 .net "LDsH_N", 9, 0, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008A5678 .net "INCsL", 9, 0, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008A6A38 .net "DECsL", 9, 0, C<1>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008A6170 .net "LDsL_B", 9, 0, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A65A0 .net "LDsL_C", 9, 0, C<1>, C<0>, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A77B8 .net "LDsL_D", 9, 0, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A6F88 .net "LDsL_E", 9, 0, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A7408 .net "LDsL_H", 9, 0, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A8920 .net "LDsL_L", 9, 0, C<1>, C<0>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A8120 .net "LDsL_6HL7", 9, 0, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A85C0 .net "LDsL_A", 9, 0, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>;
V_$008A9090 .net "up_l_src_pqr", 0, 0, C<z>;
V_$008A9BA8 .net "LDsL_N", 9, 0, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008AD1D8 .net "CPsA", 9, 0, C<1>, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008AD670 .net "CPsB", 9, 0, C<0>, C<0>, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008AE138 .net "SBCsA_6HL7", 0, 0, C<z>;
V_$008AEAE0 .net "CPsC", 9, 0, C<1>, C<0>, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008AF158 .net "CPsD", 9, 0, C<0>, C<1>, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008AF5D8 .net "CPsE", 9, 0, C<1>, C<1>, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008B0920 .net "CPsH", 9, 0, C<0>, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008B0E38 .net "CPsL", 9, 0, C<1>, C<0>, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008B1060 .net "INCs6HL7", 9, 0, C<0>, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008B15E8 .net "CPs6HL7", 9, 0, C<0>, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<0>;
V_$008B2938 .net "DECs6HL7", 9, 0, C<1>, C<0>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008B3200 .net "CB_RLC", 6, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>;
V_$008B39A8 .net "CB_RRC", 6, 0, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>;
V_$008B3D30 .net "CB_RL", 6, 0, C<0>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>;
V_$008B37F0 .net "CB_RR", 6, 0, C<1>, C<1>, C<0>, C<0>, C<0>, C<1>, C<0>;
V_$008B40A8 .net "CB_SLA", 6, 0, C<0>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>;
V_$008B48D0 .net "CB_SRA", 6, 0, C<1>, C<0>, C<1>, C<0>, C<0>, C<1>, C<0>;
V_$008B43B8 .net "CB_SLL", 6, 0, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>;
V_$008B4718 .net "CB_SRL", 6, 0, C<1>, C<1>, C<1>, C<0>, C<0>, C<1>, C<0>;
V_$008B4FC8 .net "ED_LDI", 9, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>;
V_$008B5870 .net "ED_LDD", 9, 0, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>;
V_$008B53F0 .net "ED_LDIR", 9, 0, C<0>, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>;
V_$008B60F0 .net "ED_LDDR", 9, 0, C<0>, C<0>, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>;
V_$008B64F0 .net "ED_CPI", 9, 0, C<1>, C<0>, C<0>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>;
V_$008B6920 .net "ED_CPD", 9, 0, C<1>, C<0>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>, C<0>, C<1>;
V_$008B6E98 .net "ED_CPIR", 9, 0, C<1>, C<0>, C<0>, C<0>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>;
V_$008B7330 .net "ED_CPDR", 9, 0, C<1>, C<0>, C<0>, C<1>, C<1>, C<1>, C<0>, C<1>, C<0>, C<1>;
V_$008B76F8 .net "CCF", 9, 0, C<1>, C<1>, C<1>, C<1>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008B8508 .net "SCF", 9, 0, C<1>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<0>, C<0>;
V_$008B8AA8 .net "ED_RLD", 9, 0, C<1>, C<1>, C<1>, C<1>, C<0>, C<1>, C<1>, C<0>, C<0>, C<1>;
E_inst_exec._s3797 .event posedge, C<z>;
L_inst_exec._s3/0 .functor MUXZ, V_$006AFC08[0], V_$005F5B10[8], C<z>, C<1>;
L_inst_exec._s3/1 .functor MUXZ, V_$006AFC08[1], V_$005F5B10[9], C<z>, C<1>;
L_inst_exec._s3/2 .functor MUXZ, V_$006AFC08[2], V_$005F5B10[10], C<z>, C<1>;
L_inst_exec._s3/3 .functor MUXZ, V_$006AFC08[3], V_$005F5B10[11], C<z>, C<1>;
L_inst_exec._s3/4 .functor MUXZ, V_$006AFC08[4], V_$005F5B10[12], C<z>, C<1>;
L_inst_exec._s3/5 .functor MUXZ, V_$006AFC08[5], V_$005F5B10[13], C<z>, C<1>;
L_inst_exec._s3/6 .functor MUXZ, V_$006AFC08[6], V_$005F5B10[14], C<z>, C<1>;
L_inst_exec._s3/7 .functor MUXZ, V_$006AFC08[7], V_$005F5B10[15], C<z>, C<1>;
L_inst_exec._s5/0 .functor MUXZ, L_inst_exec._s3/0, V_$00782F30[8], C<z>, C<1>;
L_inst_exec._s5/1 .functor MUXZ, L_inst_exec._s3/1, V_$00782F30[9], C<z>, C<1>;
L_inst_exec._s5/2 .functor MUXZ, L_inst_exec._s3/2, V_$00782F30[10], C<z>, C<1>;
L_inst_exec._s5/3 .functor MUXZ, L_inst_exec._s3/3, V_$00782F30[11], C<z>, C<1>;
L_inst_exec._s5/4 .functor MUXZ, L_inst_exec._s3/4, V_$00782F30[12], C<z>, C<1>;
L_inst_exec._s5/5 .functor MUXZ, L_inst_exec._s3/5, V_$00782F30[13], C<z>, C<1>;
L_inst_exec._s5/6 .functor MUXZ, L_inst_exec._s3/6, V_$00782F30[14], C<z>, C<1>;
L_inst_exec._s5/7 .functor MUXZ, L_inst_exec._s3/7, V_$00782F30[15], C<z>, C<1>;
L_inst_exec._s9/0 .functor MUXZ, V_$00801BB8[0], V_$005F5B10[0], C<z>, C<1>;
L_inst_exec._s9/1 .functor MUXZ, V_$00801BB8[1], V_$005F5B10[1], C<z>, C<1>;
L_inst_exec._s9/2 .functor MUXZ, V_$00801BB8[2], V_$005F5B10[2], C<z>, C<1>;
L_inst_exec._s9/3 .functor MUXZ, V_$00801BB8[3], V_$005F5B10[3], C<z>, C<1>;
L_inst_exec._s9/4 .functor MUXZ, V_$00801BB8[4], V_$005F5B10[4], C<z>, C<1>;
L_inst_exec._s9/5 .functor MUXZ, V_$00801BB8[5], V_$005F5B10[5], C<z>, C<1>;
L_inst_exec._s9/6 .functor MUXZ, V_$00801BB8[6], V_$005F5B10[6], C<z>, C<1>;
L_inst_exec._s9/7 .functor MUXZ, V_$00801BB8[7], V_$005F5B10[7], C<z>, C<1>;
L_inst_exec._s11/0 .functor MUXZ, L_inst_exec._s9/0, V_$00782F30[0], C<z>, C<1>;
L_inst_exec._s11/1 .functor MUXZ, L_inst_exec._s9/1, V_$00782F30[1], C<z>, C<1>;
L_inst_exec._s11/2 .functor MUXZ, L_inst_exec._s9/2, V_$00782F30[2], C<z>, C<1>;
L_inst_exec._s11/3 .functor MUXZ, L_inst_exec._s9/3, V_$00782F30[3], C<z>, C<1>;
L_inst_exec._s11/4 .functor MUXZ, L_inst_exec._s9/4, V_$00782F30[4], C<z>, C<1>;
L_inst_exec._s11/5 .functor MUXZ, L_inst_exec._s9/5, V_$00782F30[5], C<z>, C<1>;
L_inst_exec._s11/6 .functor MUXZ, L_inst_exec._s9/6, V_$00782F30[6], C<z>, C<1>;
L_inst_exec._s11/7 .functor MUXZ, L_inst_exec._s9/7, V_$00782F30[7], C<z>, C<1>;
L_inst_exec._s14/0 .functor MUXZ, V_$00801BB8[0], V_$005F5B10[0], C<z>, C<1>;
L_inst_exec._s16/0 .functor MUXZ, L_inst_exec._s14/0, V_$00782F30[0], C<z>, C<1>;
L_inst_exec._s21/0 .functor MUXZ, V_$008384B8[0], L_inst_exec._s192, C<z>, C<1>;
L_inst_exec._s23/0 .functor MUXZ, L_inst_exec._s21/0, C<z>, L_inst_exec._s18, C<1>;
L_inst_exec._s27/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s27/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s27/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s27 .functor AND, L_inst_exec._s27/L0C0, L_inst_exec._s27/L0C1, L_inst_exec._s27/L0C2, C<1>;
L_inst_exec._s41/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s41/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s41/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s41 .functor AND, L_inst_exec._s41/L0C0, L_inst_exec._s41/L0C1, L_inst_exec._s41/L0C2, C<1>;
L_inst_exec._s64/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s64/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s64/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s64 .functor AND, L_inst_exec._s64/L0C0, L_inst_exec._s64/L0C1, L_inst_exec._s64/L0C2, C<1>;
L_inst_exec._s87/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s87/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s87/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s87 .functor AND, L_inst_exec._s87/L0C0, L_inst_exec._s87/L0C1, L_inst_exec._s87/L0C2, C<1>;
L_inst_exec._s110/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s110/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s110/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s110 .functor AND, L_inst_exec._s110/L0C0, L_inst_exec._s110/L0C1, L_inst_exec._s110/L0C2, C<1>;
L_inst_exec._s133/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s133/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s133/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s133 .functor AND, L_inst_exec._s133/L0C0, L_inst_exec._s133/L0C1, L_inst_exec._s133/L0C2, C<1>;
L_inst_exec._s156/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s156/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s156/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s156 .functor AND, L_inst_exec._s156/L0C0, L_inst_exec._s156/L0C1, L_inst_exec._s156/L0C2, C<1>;
L_inst_exec._s180/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s180/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s180/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s180 .functor AND, L_inst_exec._s180/L0C0, L_inst_exec._s180/L0C1, L_inst_exec._s180/L0C2, C<1>;
L_inst_exec._s202/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s202/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s202/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s202 .functor AND, L_inst_exec._s202/L0C0, L_inst_exec._s202/L0C1, L_inst_exec._s202/L0C2, C<1>;
L_inst_exec._s215/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s215/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s215/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s215 .functor AND, L_inst_exec._s215/L0C0, L_inst_exec._s215/L0C1, L_inst_exec._s215/L0C2, C<1>;
L_inst_exec._s237/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s237/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s237/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s237 .functor AND, L_inst_exec._s237/L0C0, L_inst_exec._s237/L0C1, L_inst_exec._s237/L0C2, C<1>;
L_inst_exec._s259/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s259/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s259/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s259 .functor AND, L_inst_exec._s259/L0C0, L_inst_exec._s259/L0C1, L_inst_exec._s259/L0C2, C<1>;
L_inst_exec._s281/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s281/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s281/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s281 .functor AND, L_inst_exec._s281/L0C0, L_inst_exec._s281/L0C1, L_inst_exec._s281/L0C2, C<1>;
L_inst_exec._s303/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s303/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s303/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s303 .functor AND, L_inst_exec._s303/L0C0, L_inst_exec._s303/L0C1, L_inst_exec._s303/L0C2, C<1>;
L_inst_exec._s325/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s325/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s325/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s325 .functor AND, L_inst_exec._s325/L0C0, L_inst_exec._s325/L0C1, L_inst_exec._s325/L0C2, C<1>;
L_inst_exec._s348/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s348/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s348/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s348 .functor AND, L_inst_exec._s348/L0C0, L_inst_exec._s348/L0C1, L_inst_exec._s348/L0C2, C<1>;
L_inst_exec._s372/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s372/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s372 .functor AND, L_inst_exec._s372/L0C0, L_inst_exec._s372/L0C1, C<1>, C<1>;
L_inst_exec._s396/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s396/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s396 .functor AND, L_inst_exec._s396/L0C0, L_inst_exec._s396/L0C1, C<1>, C<1>;
L_inst_exec._s437/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s437/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s437 .functor AND, L_inst_exec._s437/L0C0, L_inst_exec._s437/L0C1, C<1>, C<1>;
L_inst_exec._s479/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s479/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s479 .functor AND, L_inst_exec._s479/L0C0, L_inst_exec._s479/L0C1, C<1>, C<1>;
L_inst_exec._s524/0 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s524/1 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s524/2 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s524/3 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s524/4 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s524/5 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s524/6 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s524/7 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s526/0 .functor MUXZ, L_inst_exec._s524/0, V_$008384B8[0], C<z>, C<1>;
L_inst_exec._s526/1 .functor MUXZ, L_inst_exec._s524/1, V_$008384B8[1], C<z>, C<1>;
L_inst_exec._s526/2 .functor MUXZ, L_inst_exec._s524/2, V_$008384B8[2], C<z>, C<1>;
L_inst_exec._s526/3 .functor MUXZ, L_inst_exec._s524/3, V_$008384B8[3], C<z>, C<1>;
L_inst_exec._s526/4 .functor MUXZ, L_inst_exec._s524/4, V_$008384B8[4], C<z>, C<1>;
L_inst_exec._s526/5 .functor MUXZ, L_inst_exec._s524/5, V_$008384B8[5], C<z>, C<1>;
L_inst_exec._s526/6 .functor MUXZ, L_inst_exec._s524/6, V_$008384B8[6], C<z>, C<1>;
L_inst_exec._s526/7 .functor MUXZ, L_inst_exec._s524/7, V_$008384B8[7], C<z>, C<1>;
L_inst_exec._s528/0 .functor MUXZ, L_inst_exec._s526/0, C<0>, C<z>, C<1>;
L_inst_exec._s528/1 .functor MUXZ, L_inst_exec._s526/1, C<0>, C<z>, C<1>;
L_inst_exec._s528/2 .functor MUXZ, L_inst_exec._s526/2, C<0>, C<z>, C<1>;
L_inst_exec._s528/3 .functor MUXZ, L_inst_exec._s526/3, C<0>, C<z>, C<1>;
L_inst_exec._s528/4 .functor MUXZ, L_inst_exec._s526/4, C<0>, C<z>, C<1>;
L_inst_exec._s528/5 .functor MUXZ, L_inst_exec._s526/5, C<0>, C<z>, C<1>;
L_inst_exec._s528/6 .functor MUXZ, L_inst_exec._s526/6, C<0>, C<z>, C<1>;
L_inst_exec._s528/7 .functor MUXZ, L_inst_exec._s526/7, C<0>, C<z>, C<1>;
L_inst_exec._s530/0 .functor MUXZ, L_inst_exec._s528/0, V_$008384B8[0], L_inst_exec._s3703, C<1>;
L_inst_exec._s530/1 .functor MUXZ, L_inst_exec._s528/1, V_$008384B8[1], L_inst_exec._s3703, C<1>;
L_inst_exec._s530/2 .functor MUXZ, L_inst_exec._s528/2, V_$008384B8[2], L_inst_exec._s3703, C<1>;
L_inst_exec._s530/3 .functor MUXZ, L_inst_exec._s528/3, V_$008384B8[3], L_inst_exec._s3703, C<1>;
L_inst_exec._s530/4 .functor MUXZ, L_inst_exec._s528/4, V_$008384B8[4], L_inst_exec._s3703, C<1>;
L_inst_exec._s530/5 .functor MUXZ, L_inst_exec._s528/5, V_$008384B8[5], L_inst_exec._s3703, C<1>;
L_inst_exec._s530/6 .functor MUXZ, L_inst_exec._s528/6, V_$008384B8[6], L_inst_exec._s3703, C<1>;
L_inst_exec._s530/7 .functor MUXZ, L_inst_exec._s528/7, V_$008384B8[7], L_inst_exec._s3703, C<1>;
L_inst_exec._s542/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s542/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s542/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s542/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s542/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s542/L1C0 .functor AND, L_inst_exec._s542/L0C0, L_inst_exec._s542/L0C1, L_inst_exec._s542/L0C2, L_inst_exec._s542/L0C3;
L_inst_exec._s542/L1C1 .functor AND, L_inst_exec._s542/L0C4, C<1>, C<1>, C<1>;
L_inst_exec._s542 .functor AND, L_inst_exec._s542/L1C0, L_inst_exec._s542/L1C1, C<1>, C<1>;
L_inst_exec._s547/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s547/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s547/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s547/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s547/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s547/L1C0 .functor AND, L_inst_exec._s547/L0C0, L_inst_exec._s547/L0C1, L_inst_exec._s547/L0C2, L_inst_exec._s547/L0C3;
L_inst_exec._s547/L1C1 .functor AND, L_inst_exec._s547/L0C4, C<1>, C<1>, C<1>;
L_inst_exec._s547 .functor AND, L_inst_exec._s547/L1C0, L_inst_exec._s547/L1C1, C<1>, C<1>;
L_inst_exec._s554/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s554/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s554 .functor AND, L_inst_exec._s554/L0C0, L_inst_exec._s554/L0C1, C<1>, C<1>;
L_inst_exec._s571 .arith/sum 5, L_inst_exec._s530/0, L_inst_exec._s530/1, L_inst_exec._s530/2, L_inst_exec._s530/3, C<0>, L_inst_exec._s1089/1, L_inst_exec._s1089/2, L_inst_exec._s1089/3, L_inst_exec._s1089/4, C<0>;
L_inst_exec._s576 .arith/sum 5, L_inst_exec._s571[0], L_inst_exec._s571[1], L_inst_exec._s571[2], L_inst_exec._s571[3], L_inst_exec._s571[4], L_inst_exec._s1089/0, C<0>, C<0>, C<0>, C<0>;
L_inst_exec._s587 .arith/sum 5, L_inst_exec._s530/4, L_inst_exec._s530/5, L_inst_exec._s530/6, L_inst_exec._s530/7, C<0>, L_inst_exec._s1089/5, L_inst_exec._s1089/6, L_inst_exec._s1089/7, L_inst_exec._s1089/8, C<0>;
L_inst_exec._s592 .arith/sum 5, L_inst_exec._s587[0], L_inst_exec._s587[1], L_inst_exec._s587[2], L_inst_exec._s587[3], L_inst_exec._s587[4], L_inst_exec._s576[4], C<0>, C<0>, C<0>, C<0>;
L_inst_exec._s603/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s603/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s603/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s603/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s603/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s603/L1C0 .functor AND, L_inst_exec._s603/L0C0, L_inst_exec._s603/L0C1, L_inst_exec._s603/L0C2, L_inst_exec._s603/L0C3;
L_inst_exec._s603/L1C1 .functor AND, L_inst_exec._s603/L0C4, C<1>, C<1>, C<1>;
L_inst_exec._s603 .functor AND, L_inst_exec._s603/L1C0, L_inst_exec._s603/L1C1, C<1>, C<1>;
L_inst_exec._s629/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s629/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s629/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s629/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s629/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s629/L1C0 .functor AND, L_inst_exec._s629/L0C0, L_inst_exec._s629/L0C1, L_inst_exec._s629/L0C2, L_inst_exec._s629/L0C3;
L_inst_exec._s629/L1C1 .functor AND, L_inst_exec._s629/L0C4, C<1>, C<1>, C<1>;
L_inst_exec._s629 .functor AND, L_inst_exec._s629/L1C0, L_inst_exec._s629/L1C1, C<1>, C<1>;
L_inst_exec._s668/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s668/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s668/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s668/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s668/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s668/L1C0 .functor AND, L_inst_exec._s668/L0C0, L_inst_exec._s668/L0C1, L_inst_exec._s668/L0C2, L_inst_exec._s668/L0C3;
L_inst_exec._s668/L1C1 .functor AND, L_inst_exec._s668/L0C4, C<1>, C<1>, C<1>;
L_inst_exec._s668 .functor AND, L_inst_exec._s668/L1C0, L_inst_exec._s668/L1C1, C<1>, C<1>;
L_inst_exec._s711/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s711/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s711/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s711/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s711/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s711/L1C0 .functor AND, L_inst_exec._s711/L0C0, L_inst_exec._s711/L0C1, L_inst_exec._s711/L0C2, L_inst_exec._s711/L0C3;
L_inst_exec._s711/L1C1 .functor AND, L_inst_exec._s711/L0C4, C<1>, C<1>, C<1>;
L_inst_exec._s711 .functor AND, L_inst_exec._s711/L1C0, L_inst_exec._s711/L1C1, C<1>, C<1>;
L_inst_exec._s754/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s754/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s754/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s754/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s754/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s754/L1C0 .functor AND, L_inst_exec._s754/L0C0, L_inst_exec._s754/L0C1, L_inst_exec._s754/L0C2, L_inst_exec._s754/L0C3;
L_inst_exec._s754/L1C1 .functor AND, L_inst_exec._s754/L0C4, C<1>, C<1>, C<1>;
L_inst_exec._s754 .functor AND, L_inst_exec._s754/L1C0, L_inst_exec._s754/L1C1, C<1>, C<1>;
L_inst_exec._s808/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s808/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s808/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s808/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s808/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s808/L1C0 .functor AND, L_inst_exec._s808/L0C0, L_inst_exec._s808/L0C1, L_inst_exec._s808/L0C2, L_inst_exec._s808/L0C3;
L_inst_exec._s808/L1C1 .functor AND, L_inst_exec._s808/L0C4, C<1>, C<1>, C<1>;
L_inst_exec._s808 .functor AND, L_inst_exec._s808/L1C0, L_inst_exec._s808/L1C1, C<1>, C<1>;
L_inst_exec._s862/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s862/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s862/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s862/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s862/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s862/L1C0 .functor AND, L_inst_exec._s862/L0C0, L_inst_exec._s862/L0C1, L_inst_exec._s862/L0C2, L_inst_exec._s862/L0C3;
L_inst_exec._s862/L1C1 .functor AND, L_inst_exec._s862/L0C4, C<1>, C<1>, C<1>;
L_inst_exec._s862 .functor AND, L_inst_exec._s862/L1C0, L_inst_exec._s862/L1C1, C<1>, C<1>;
L_inst_exec._s916/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s916/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s916/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s916/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s916/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s916/L1C0 .functor AND, L_inst_exec._s916/L0C0, L_inst_exec._s916/L0C1, L_inst_exec._s916/L0C2, L_inst_exec._s916/L0C3;
L_inst_exec._s916/L1C1 .functor AND, L_inst_exec._s916/L0C4, C<1>, C<1>, C<1>;
L_inst_exec._s916 .functor AND, L_inst_exec._s916/L1C0, L_inst_exec._s916/L1C1, C<1>, C<1>;
L_inst_exec._s957/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s957/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s957 .functor AND, L_inst_exec._s957/L0C0, L_inst_exec._s957/L0C1, C<1>, C<1>;
L_inst_exec._s1003/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1003/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1003 .functor AND, L_inst_exec._s1003/L0C0, L_inst_exec._s1003/L0C1, C<1>, C<1>;
L_inst_exec._s1047/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1047/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1047 .functor AND, L_inst_exec._s1047/L0C0, L_inst_exec._s1047/L0C1, C<1>, C<1>;
L_inst_exec._s1089/0 .functor MUXZ, L_inst_exec._s1072, C<1>, L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/1 .functor MUXZ, L_inst_exec._s1073, L_inst_exec._s595, L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/2 .functor MUXZ, L_inst_exec._s1074, L_inst_exec._s576[0], L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/3 .functor MUXZ, L_inst_exec._s1075, L_inst_exec._s576[1], L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/4 .functor MUXZ, L_inst_exec._s1076, L_inst_exec._s576[2], L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/5 .functor MUXZ, L_inst_exec._s1077, L_inst_exec._s576[3], L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/6 .functor MUXZ, L_inst_exec._s1078, L_inst_exec._s592[0], L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/7 .functor MUXZ, L_inst_exec._s1079, L_inst_exec._s592[1], L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/8 .functor MUXZ, L_inst_exec._s1080, L_inst_exec._s592[2], L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/9 .functor MUXZ, L_inst_exec._s1081, L_inst_exec._s592[3], L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/10 .functor MUXZ, L_inst_exec._s1082, L_inst_exec._s576[4], L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/11 .functor MUXZ, L_inst_exec._s1083, L_inst_exec._s592[4], L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/12 .functor MUXZ, C<0>, C<0>, L_inst_exec._s3703, C<1>;
L_inst_exec._s1089/13 .functor MUXZ, C<0>, C<0>, L_inst_exec._s3703, C<1>;
L_inst_exec._s1115 .arith/sum 9, L_inst_exec._s1365, L_inst_exec._s1366, L_inst_exec._s1367, L_inst_exec._s1368, L_inst_exec._s1369, L_inst_exec._s1370, L_inst_exec._s1371, L_inst_exec._s1372, C<0>, L_inst_exec._s1364, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
L_inst_exec._s1131 .arith/sum 5, L_inst_exec._s1373, L_inst_exec._s1374, L_inst_exec._s1375, L_inst_exec._s1376, C<0>, L_inst_exec._s1115[8], C<0>, C<0>, C<0>, C<0>;
L_inst_exec._s1147 .arith/sum 5, L_inst_exec._s1377, L_inst_exec._s1378, L_inst_exec._s1379, L_inst_exec._s1380, C<0>, L_inst_exec._s1131[4], C<0>, C<0>, C<0>, C<0>;
L_inst_exec._s1153/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1153/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1153/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1153/L0C3 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1153 .functor AND, L_inst_exec._s1153/L0C0, L_inst_exec._s1153/L0C1, L_inst_exec._s1153/L0C2, L_inst_exec._s1153/L0C3;
L_inst_exec._s1182/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1182/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1182/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1182/L0C3 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1182 .functor AND, L_inst_exec._s1182/L0C0, L_inst_exec._s1182/L0C1, L_inst_exec._s1182/L0C2, L_inst_exec._s1182/L0C3;
L_inst_exec._s1235/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1235/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1235/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1235/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1235 .functor AND, L_inst_exec._s1235/L0C0, L_inst_exec._s1235/L0C1, L_inst_exec._s1235/L0C2, L_inst_exec._s1235/L0C3;
L_inst_exec._s1286/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1286/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1286/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1286/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1286 .functor AND, L_inst_exec._s1286/L0C0, L_inst_exec._s1286/L0C1, L_inst_exec._s1286/L0C2, L_inst_exec._s1286/L0C3;
L_inst_exec._s1338/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1338/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1338/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1338/L0C3 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1338 .functor AND, L_inst_exec._s1338/L0C0, L_inst_exec._s1338/L0C1, L_inst_exec._s1338/L0C2, L_inst_exec._s1338/L0C3;
L_inst_exec._s1388/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1388/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1388/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1388 .functor AND, L_inst_exec._s1388/L0C0, L_inst_exec._s1388/L0C1, L_inst_exec._s1388/L0C2, C<1>;
L_inst_exec._s1406/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1406/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1406/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1406 .functor AND, L_inst_exec._s1406/L0C0, L_inst_exec._s1406/L0C1, L_inst_exec._s1406/L0C2, C<1>;
L_inst_exec._s1436/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1436/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1436/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1436 .functor AND, L_inst_exec._s1436/L0C0, L_inst_exec._s1436/L0C1, L_inst_exec._s1436/L0C2, C<1>;
L_inst_exec._s1463/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1463/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1463/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1463 .functor AND, L_inst_exec._s1463/L0C0, L_inst_exec._s1463/L0C1, L_inst_exec._s1463/L0C2, C<1>;
L_inst_exec._s1492/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1492/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1492/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1492 .functor AND, L_inst_exec._s1492/L0C0, L_inst_exec._s1492/L0C1, L_inst_exec._s1492/L0C2, C<1>;
L_inst_exec._s1521/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1521/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1521/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1521 .functor AND, L_inst_exec._s1521/L0C0, L_inst_exec._s1521/L0C1, L_inst_exec._s1521/L0C2, C<1>;
L_inst_exec._s1551/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1551/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1551/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1551 .functor AND, L_inst_exec._s1551/L0C0, L_inst_exec._s1551/L0C1, L_inst_exec._s1551/L0C2, C<1>;
L_inst_exec._s1555 .arith/sub 1, C<1>, C<z>;
L_inst_exec._s1582/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1582/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1582/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1582 .functor AND, L_inst_exec._s1582/L0C0, L_inst_exec._s1582/L0C1, L_inst_exec._s1582/L0C2, C<1>;
L_inst_exec._s1613/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1613/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1613/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1613/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1613 .functor AND, L_inst_exec._s1613/L0C0, L_inst_exec._s1613/L0C1, L_inst_exec._s1613/L0C2, L_inst_exec._s1613/L0C3;
L_inst_exec._s1617/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1617/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1617/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1617/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1617 .functor AND, L_inst_exec._s1617/L0C0, L_inst_exec._s1617/L0C1, L_inst_exec._s1617/L0C2, L_inst_exec._s1617/L0C3;
L_inst_exec._s1621/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1621/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1621/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1621/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1621 .functor AND, L_inst_exec._s1621/L0C0, L_inst_exec._s1621/L0C1, L_inst_exec._s1621/L0C2, L_inst_exec._s1621/L0C3;
L_inst_exec._s1626/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1626/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1626/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1626/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1626 .functor AND, L_inst_exec._s1626/L0C0, L_inst_exec._s1626/L0C1, L_inst_exec._s1626/L0C2, L_inst_exec._s1626/L0C3;
L_inst_exec._s1633/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1633/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1633/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1633 .functor AND, L_inst_exec._s1633/L0C0, L_inst_exec._s1633/L0C1, L_inst_exec._s1633/L0C2, C<1>;
L_inst_exec._s1652/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1652/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1652/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1652 .functor AND, L_inst_exec._s1652/L0C0, L_inst_exec._s1652/L0C1, L_inst_exec._s1652/L0C2, C<1>;
L_inst_exec._s1680/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1680/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1680/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1680 .functor AND, L_inst_exec._s1680/L0C0, L_inst_exec._s1680/L0C1, L_inst_exec._s1680/L0C2, C<1>;
L_inst_exec._s1708/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1708/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1708/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1708 .functor AND, L_inst_exec._s1708/L0C0, L_inst_exec._s1708/L0C1, L_inst_exec._s1708/L0C2, C<1>;
L_inst_exec._s1736/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1736/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1736/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1736 .functor AND, L_inst_exec._s1736/L0C0, L_inst_exec._s1736/L0C1, L_inst_exec._s1736/L0C2, C<1>;
L_inst_exec._s1764/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1764/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1764/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1764 .functor AND, L_inst_exec._s1764/L0C0, L_inst_exec._s1764/L0C1, L_inst_exec._s1764/L0C2, C<1>;
L_inst_exec._s1792/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1792/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1792/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1792 .functor AND, L_inst_exec._s1792/L0C0, L_inst_exec._s1792/L0C1, L_inst_exec._s1792/L0C2, C<1>;
L_inst_exec._s1820/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1820/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1820/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1820 .functor AND, L_inst_exec._s1820/L0C0, L_inst_exec._s1820/L0C1, L_inst_exec._s1820/L0C2, C<1>;
L_inst_exec._s1846/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1846/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1846/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1846/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1846 .functor AND, L_inst_exec._s1846/L0C0, L_inst_exec._s1846/L0C1, L_inst_exec._s1846/L0C2, L_inst_exec._s1846/L0C3;
L_inst_exec._s1870/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1870/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1870/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1870/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1870 .functor AND, L_inst_exec._s1870/L0C0, L_inst_exec._s1870/L0C1, L_inst_exec._s1870/L0C2, L_inst_exec._s1870/L0C3;
L_inst_exec._s1905/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1905/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1905/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s1905/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s1905 .functor AND, L_inst_exec._s1905/L0C0, L_inst_exec._s1905/L0C1, L_inst_exec._s1905/L0C2, L_inst_exec._s1905/L0C3;
L_inst_exec._s1931 .arith/sum 8, V_$00639CB8[0], V_$00639CB8[1], V_$00639CB8[2], V_$00639CB8[3], V_$00639CB8[4], V_$00639CB8[5], V_$00639CB8[6], V_$00639CB8[7], C<1>, C<1>, C<1>, C<1>, C<1>, C<1>, C<1>, C<1>;
L_inst_exec._s1940/0 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s1940/1 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s1940/2 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s1940/3 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s1940/4 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s1940/5 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s1940/6 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s1940/7 .functor MUXZ, C<0>, C<1>, C<z>, C<1>;
L_inst_exec._s1942/0 .functor MUXZ, L_inst_exec._s1940/0, C<1>, C<z>, C<1>;
L_inst_exec._s1942/1 .functor MUXZ, L_inst_exec._s1940/1, C<1>, C<z>, C<1>;
L_inst_exec._s1942/2 .functor MUXZ, L_inst_exec._s1940/2, C<1>, C<z>, C<1>;
L_inst_exec._s1942/3 .functor MUXZ, L_inst_exec._s1940/3, C<1>, C<z>, C<1>;
L_inst_exec._s1942/4 .functor MUXZ, L_inst_exec._s1940/4, C<1>, C<z>, C<1>;
L_inst_exec._s1942/5 .functor MUXZ, L_inst_exec._s1940/5, C<1>, C<z>, C<1>;
L_inst_exec._s1942/6 .functor MUXZ, L_inst_exec._s1940/6, C<1>, C<z>, C<1>;
L_inst_exec._s1942/7 .functor MUXZ, L_inst_exec._s1940/7, C<1>, C<z>, C<1>;
L_inst_exec._s1943 .arith/sum 8, V_$0076D350[0], V_$0076D350[1], V_$0076D350[2], V_$0076D350[3], V_$0076D350[4], V_$0076D350[5], V_$0076D350[6], V_$0076D350[7], L_inst_exec._s1942/0, L_inst_exec._s1942/1, L_inst_exec._s1942/2, L_inst_exec._s1942/3, L_inst_exec._s1942/4, L_inst_exec._s1942/5, L_inst_exec._s1942/6, L_inst_exec._s1942/7;
L_inst_exec._s1957 .cmp/gt 4, V_$008384B8[0], V_$008384B8[1], V_$008384B8[2], V_$008384B8[3], C<1>, C<0>, C<0>, C<1>;
L_inst_exec._s1969/0 .functor MUXZ, C<0>, C<0>, L_inst_exec._s1963, C<1>;
L_inst_exec._s1969/1 .functor MUXZ, C<0>, C<1>, L_inst_exec._s1963, C<1>;
L_inst_exec._s1969/2 .functor MUXZ, C<0>, C<0>, L_inst_exec._s1963, C<1>;
L_inst_exec._s1969/3 .functor MUXZ, C<0>, C<1>, L_inst_exec._s1963, C<1>;
L_inst_exec._s1971/0 .functor MUXZ, L_inst_exec._s1969/0, C<0>, L_inst_exec._s1959, C<1>;
L_inst_exec._s1971/1 .functor MUXZ, L_inst_exec._s1969/1, C<1>, L_inst_exec._s1959, C<1>;
L_inst_exec._s1971/2 .functor MUXZ, L_inst_exec._s1969/2, C<1>, L_inst_exec._s1959, C<1>;
L_inst_exec._s1971/3 .functor MUXZ, L_inst_exec._s1969/3, C<0>, L_inst_exec._s1959, C<1>;
L_inst_exec._s1973/0 .functor MUXZ, L_inst_exec._s1971/0, C<0>, L_inst_exec._s1948, C<1>;
L_inst_exec._s1973/1 .functor MUXZ, L_inst_exec._s1971/1, C<1>, L_inst_exec._s1948, C<1>;
L_inst_exec._s1973/2 .functor MUXZ, L_inst_exec._s1971/2, C<1>, L_inst_exec._s1948, C<1>;
L_inst_exec._s1973/3 .functor MUXZ, L_inst_exec._s1971/3, C<0>, L_inst_exec._s1948, C<1>;
L_inst_exec._s1986 .cmp/gt 4, V_$008384B8[0], V_$008384B8[1], V_$008384B8[2], V_$008384B8[3], C<1>, C<0>, C<0>, C<1>;
L_inst_exec._s1997/L0C0 .functor XNOR, V_$008384B8[0], C<1>, C<0>, C<0>;
L_inst_exec._s1997/L0C1 .functor XNOR, V_$008384B8[1], C<0>, C<0>, C<0>;
L_inst_exec._s1997/L0C2 .functor XNOR, V_$008384B8[2], C<0>, C<0>, C<0>;
L_inst_exec._s1997/L0C3 .functor XNOR, V_$008384B8[3], C<1>, C<0>, C<0>;
L_inst_exec._s1997 .functor AND, L_inst_exec._s1997/L0C0, L_inst_exec._s1997/L0C1, L_inst_exec._s1997/L0C2, L_inst_exec._s1997/L0C3;
L_inst_exec._s2004 .cmp/gt 4, V_$008384B8[0], V_$008384B8[1], V_$008384B8[2], V_$008384B8[3], C<1>, C<0>, C<0>, C<1>;
L_inst_exec._s2034/0 .functor MUXZ, C<0>, C<1>, L_inst_exec._s2028, C<1>;
L_inst_exec._s2034/1 .functor MUXZ, C<0>, C<0>, L_inst_exec._s2028, C<1>;
L_inst_exec._s2034/2 .functor MUXZ, C<0>, C<0>, L_inst_exec._s2028, C<1>;
L_inst_exec._s2034/3 .functor MUXZ, C<0>, C<1>, L_inst_exec._s2028, C<1>;
L_inst_exec._s2034/4 .functor MUXZ, C<0>, C<1>, L_inst_exec._s2028, C<1>;
L_inst_exec._s2036/0 .functor MUXZ, L_inst_exec._s2034/0, C<0>, L_inst_exec._s2022, C<1>;
L_inst_exec._s2036/1 .functor MUXZ, L_inst_exec._s2034/1, C<1>, L_inst_exec._s2022, C<1>;
L_inst_exec._s2036/2 .functor MUXZ, L_inst_exec._s2034/2, C<0>, L_inst_exec._s2022, C<1>;
L_inst_exec._s2036/3 .functor MUXZ, L_inst_exec._s2034/3, C<1>, L_inst_exec._s2022, C<1>;
L_inst_exec._s2036/4 .functor MUXZ, L_inst_exec._s2034/4, C<1>, L_inst_exec._s2022, C<1>;
L_inst_exec._s2038/0 .functor MUXZ, L_inst_exec._s2036/0, C<1>, L_inst_exec._s2014, C<1>;
L_inst_exec._s2038/1 .functor MUXZ, L_inst_exec._s2036/1, C<1>, L_inst_exec._s2014, C<1>;
L_inst_exec._s2038/2 .functor MUXZ, L_inst_exec._s2036/2, C<1>, L_inst_exec._s2014, C<1>;
L_inst_exec._s2038/3 .functor MUXZ, L_inst_exec._s2036/3, C<1>, L_inst_exec._s2014, C<1>;
L_inst_exec._s2038/4 .functor MUXZ, L_inst_exec._s2036/4, C<0>, L_inst_exec._s2014, C<1>;
L_inst_exec._s2040/0 .functor MUXZ, L_inst_exec._s2038/0, C<0>, L_inst_exec._s2006, C<1>;
L_inst_exec._s2040/1 .functor MUXZ, L_inst_exec._s2038/1, C<1>, L_inst_exec._s2006, C<1>;
L_inst_exec._s2040/2 .functor MUXZ, L_inst_exec._s2038/2, C<1>, L_inst_exec._s2006, C<1>;
L_inst_exec._s2040/3 .functor MUXZ, L_inst_exec._s2038/3, C<0>, L_inst_exec._s2006, C<1>;
L_inst_exec._s2040/4 .functor MUXZ, L_inst_exec._s2038/4, C<1>, L_inst_exec._s2006, C<1>;
L_inst_exec._s2042/0 .functor MUXZ, L_inst_exec._s2040/0, C<0>, L_inst_exec._s1988, C<1>;
L_inst_exec._s2042/1 .functor MUXZ, L_inst_exec._s2040/1, C<1>, L_inst_exec._s1988, C<1>;
L_inst_exec._s2042/2 .functor MUXZ, L_inst_exec._s2040/2, C<1>, L_inst_exec._s1988, C<1>;
L_inst_exec._s2042/3 .functor MUXZ, L_inst_exec._s2040/3, C<0>, L_inst_exec._s1988, C<1>;
L_inst_exec._s2042/4 .functor MUXZ, L_inst_exec._s2040/4, C<1>, L_inst_exec._s1988, C<1>;
L_inst_exec._s2044/0 .functor MUXZ, L_inst_exec._s2042/0, C<0>, L_inst_exec._s1977, C<1>;
L_inst_exec._s2044/1 .functor MUXZ, L_inst_exec._s2042/1, C<1>, L_inst_exec._s1977, C<1>;
L_inst_exec._s2044/2 .functor MUXZ, L_inst_exec._s2042/2, C<1>, L_inst_exec._s1977, C<1>;
L_inst_exec._s2044/3 .functor MUXZ, L_inst_exec._s2042/3, C<0>, L_inst_exec._s1977, C<1>;
L_inst_exec._s2044/4 .functor MUXZ, L_inst_exec._s2042/4, C<1>, L_inst_exec._s1977, C<1>;
L_inst_exec._s2048 .arith/sum 8, V_$008384B8[0], V_$008384B8[1], V_$008384B8[2], V_$008384B8[3], V_$008384B8[4], V_$008384B8[5], V_$008384B8[6], V_$008384B8[7], L_inst_exec._s1973/0, L_inst_exec._s1973/1, L_inst_exec._s1973/2, L_inst_exec._s1973/3, L_inst_exec._s2044/0, L_inst_exec._s2044/1, L_inst_exec._s2044/2, L_inst_exec._s2044/3;
L_inst_exec._s2054/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2054/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2054/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2054/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2054/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2054/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2054/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2054/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2054/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2054/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2054/L1C0 .functor AND, L_inst_exec._s2054/L0C0, L_inst_exec._s2054/L0C1, L_inst_exec._s2054/L0C2, L_inst_exec._s2054/L0C3;
L_inst_exec._s2054/L1C1 .functor AND, L_inst_exec._s2054/L0C4, L_inst_exec._s2054/L0C5, L_inst_exec._s2054/L0C6, L_inst_exec._s2054/L0C7;
L_inst_exec._s2054/L1C2 .functor AND, L_inst_exec._s2054/L0C8, L_inst_exec._s2054/L0C9, C<1>, C<1>;
L_inst_exec._s2054 .functor AND, L_inst_exec._s2054/L1C0, L_inst_exec._s2054/L1C1, L_inst_exec._s2054/L1C2, C<1>;
L_inst_exec._s2057/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2057/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2057/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2057/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2057/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2057/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2057/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2057/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2057/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2057/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2057/L1C0 .functor AND, L_inst_exec._s2057/L0C0, L_inst_exec._s2057/L0C1, L_inst_exec._s2057/L0C2, L_inst_exec._s2057/L0C3;
L_inst_exec._s2057/L1C1 .functor AND, L_inst_exec._s2057/L0C4, L_inst_exec._s2057/L0C5, L_inst_exec._s2057/L0C6, L_inst_exec._s2057/L0C7;
L_inst_exec._s2057/L1C2 .functor AND, L_inst_exec._s2057/L0C8, L_inst_exec._s2057/L0C9, C<1>, C<1>;
L_inst_exec._s2057 .functor AND, L_inst_exec._s2057/L1C0, L_inst_exec._s2057/L1C1, L_inst_exec._s2057/L1C2, C<1>;
L_inst_exec._s2062/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2062/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2062/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2062/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2062/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2062/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2062/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2062/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2062/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2062/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2062/L1C0 .functor AND, L_inst_exec._s2062/L0C0, L_inst_exec._s2062/L0C1, L_inst_exec._s2062/L0C2, L_inst_exec._s2062/L0C3;
L_inst_exec._s2062/L1C1 .functor AND, L_inst_exec._s2062/L0C4, L_inst_exec._s2062/L0C5, L_inst_exec._s2062/L0C6, L_inst_exec._s2062/L0C7;
L_inst_exec._s2062/L1C2 .functor AND, L_inst_exec._s2062/L0C8, L_inst_exec._s2062/L0C9, C<1>, C<1>;
L_inst_exec._s2062 .functor AND, L_inst_exec._s2062/L1C0, L_inst_exec._s2062/L1C1, L_inst_exec._s2062/L1C2, C<1>;
L_inst_exec._s2067/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2067/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2067/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2067/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2067/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2067/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2067/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2067/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2067/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2067/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2067/L1C0 .functor AND, L_inst_exec._s2067/L0C0, L_inst_exec._s2067/L0C1, L_inst_exec._s2067/L0C2, L_inst_exec._s2067/L0C3;
L_inst_exec._s2067/L1C1 .functor AND, L_inst_exec._s2067/L0C4, L_inst_exec._s2067/L0C5, L_inst_exec._s2067/L0C6, L_inst_exec._s2067/L0C7;
L_inst_exec._s2067/L1C2 .functor AND, L_inst_exec._s2067/L0C8, L_inst_exec._s2067/L0C9, C<1>, C<1>;
L_inst_exec._s2067 .functor AND, L_inst_exec._s2067/L1C0, L_inst_exec._s2067/L1C1, L_inst_exec._s2067/L1C2, C<1>;
L_inst_exec._s2072/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2072/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2072/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2072/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2072/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2072/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2072/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2072/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2072/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2072/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2072/L1C0 .functor AND, L_inst_exec._s2072/L0C0, L_inst_exec._s2072/L0C1, L_inst_exec._s2072/L0C2, L_inst_exec._s2072/L0C3;
L_inst_exec._s2072/L1C1 .functor AND, L_inst_exec._s2072/L0C4, L_inst_exec._s2072/L0C5, L_inst_exec._s2072/L0C6, L_inst_exec._s2072/L0C7;
L_inst_exec._s2072/L1C2 .functor AND, L_inst_exec._s2072/L0C8, L_inst_exec._s2072/L0C9, C<1>, C<1>;
L_inst_exec._s2072 .functor AND, L_inst_exec._s2072/L1C0, L_inst_exec._s2072/L1C1, L_inst_exec._s2072/L1C2, C<1>;
L_inst_exec._s2077/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2077/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2077/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2077/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2077/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2077/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2077/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2077/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2077/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2077/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2077/L1C0 .functor AND, L_inst_exec._s2077/L0C0, L_inst_exec._s2077/L0C1, L_inst_exec._s2077/L0C2, L_inst_exec._s2077/L0C3;
L_inst_exec._s2077/L1C1 .functor AND, L_inst_exec._s2077/L0C4, L_inst_exec._s2077/L0C5, L_inst_exec._s2077/L0C6, L_inst_exec._s2077/L0C7;
L_inst_exec._s2077/L1C2 .functor AND, L_inst_exec._s2077/L0C8, L_inst_exec._s2077/L0C9, C<1>, C<1>;
L_inst_exec._s2077 .functor AND, L_inst_exec._s2077/L1C0, L_inst_exec._s2077/L1C1, L_inst_exec._s2077/L1C2, C<1>;
L_inst_exec._s2082/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2082/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2082/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2082/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2082/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2082/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2082/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2082/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2082/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2082/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2082/L1C0 .functor AND, L_inst_exec._s2082/L0C0, L_inst_exec._s2082/L0C1, L_inst_exec._s2082/L0C2, L_inst_exec._s2082/L0C3;
L_inst_exec._s2082/L1C1 .functor AND, L_inst_exec._s2082/L0C4, L_inst_exec._s2082/L0C5, L_inst_exec._s2082/L0C6, L_inst_exec._s2082/L0C7;
L_inst_exec._s2082/L1C2 .functor AND, L_inst_exec._s2082/L0C8, L_inst_exec._s2082/L0C9, C<1>, C<1>;
L_inst_exec._s2082 .functor AND, L_inst_exec._s2082/L1C0, L_inst_exec._s2082/L1C1, L_inst_exec._s2082/L1C2, C<1>;
L_inst_exec._s2087/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2087/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2087/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2087/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2087/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2087/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2087/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2087/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2087/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2087/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2087/L1C0 .functor AND, L_inst_exec._s2087/L0C0, L_inst_exec._s2087/L0C1, L_inst_exec._s2087/L0C2, L_inst_exec._s2087/L0C3;
L_inst_exec._s2087/L1C1 .functor AND, L_inst_exec._s2087/L0C4, L_inst_exec._s2087/L0C5, L_inst_exec._s2087/L0C6, L_inst_exec._s2087/L0C7;
L_inst_exec._s2087/L1C2 .functor AND, L_inst_exec._s2087/L0C8, L_inst_exec._s2087/L0C9, C<1>, C<1>;
L_inst_exec._s2087 .functor AND, L_inst_exec._s2087/L1C0, L_inst_exec._s2087/L1C1, L_inst_exec._s2087/L1C2, C<1>;
L_inst_exec._s2092/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2092/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2092/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2092/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2092/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2092/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2092/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2092/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2092/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2092/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2092/L1C0 .functor AND, L_inst_exec._s2092/L0C0, L_inst_exec._s2092/L0C1, L_inst_exec._s2092/L0C2, L_inst_exec._s2092/L0C3;
L_inst_exec._s2092/L1C1 .functor AND, L_inst_exec._s2092/L0C4, L_inst_exec._s2092/L0C5, L_inst_exec._s2092/L0C6, L_inst_exec._s2092/L0C7;
L_inst_exec._s2092/L1C2 .functor AND, L_inst_exec._s2092/L0C8, L_inst_exec._s2092/L0C9, C<1>, C<1>;
L_inst_exec._s2092 .functor AND, L_inst_exec._s2092/L1C0, L_inst_exec._s2092/L1C1, L_inst_exec._s2092/L1C2, C<1>;
L_inst_exec._s2097/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2097/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2097/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2097/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2097/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2097/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2097/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2097/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2097/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2097/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2097/L1C0 .functor AND, L_inst_exec._s2097/L0C0, L_inst_exec._s2097/L0C1, L_inst_exec._s2097/L0C2, L_inst_exec._s2097/L0C3;
L_inst_exec._s2097/L1C1 .functor AND, L_inst_exec._s2097/L0C4, L_inst_exec._s2097/L0C5, L_inst_exec._s2097/L0C6, L_inst_exec._s2097/L0C7;
L_inst_exec._s2097/L1C2 .functor AND, L_inst_exec._s2097/L0C8, L_inst_exec._s2097/L0C9, C<1>, C<1>;
L_inst_exec._s2097 .functor AND, L_inst_exec._s2097/L1C0, L_inst_exec._s2097/L1C1, L_inst_exec._s2097/L1C2, C<1>;
L_inst_exec._s2102/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2102/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2102/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2102/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2102/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2102/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2102/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2102/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2102/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2102/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2102/L1C0 .functor AND, L_inst_exec._s2102/L0C0, L_inst_exec._s2102/L0C1, L_inst_exec._s2102/L0C2, L_inst_exec._s2102/L0C3;
L_inst_exec._s2102/L1C1 .functor AND, L_inst_exec._s2102/L0C4, L_inst_exec._s2102/L0C5, L_inst_exec._s2102/L0C6, L_inst_exec._s2102/L0C7;
L_inst_exec._s2102/L1C2 .functor AND, L_inst_exec._s2102/L0C8, L_inst_exec._s2102/L0C9, C<1>, C<1>;
L_inst_exec._s2102 .functor AND, L_inst_exec._s2102/L1C0, L_inst_exec._s2102/L1C1, L_inst_exec._s2102/L1C2, C<1>;
L_inst_exec._s2107/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2107/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2107/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2107/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2107/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2107/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2107/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2107/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2107/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2107/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2107/L1C0 .functor AND, L_inst_exec._s2107/L0C0, L_inst_exec._s2107/L0C1, L_inst_exec._s2107/L0C2, L_inst_exec._s2107/L0C3;
L_inst_exec._s2107/L1C1 .functor AND, L_inst_exec._s2107/L0C4, L_inst_exec._s2107/L0C5, L_inst_exec._s2107/L0C6, L_inst_exec._s2107/L0C7;
L_inst_exec._s2107/L1C2 .functor AND, L_inst_exec._s2107/L0C8, L_inst_exec._s2107/L0C9, C<1>, C<1>;
L_inst_exec._s2107 .functor AND, L_inst_exec._s2107/L1C0, L_inst_exec._s2107/L1C1, L_inst_exec._s2107/L1C2, C<1>;
L_inst_exec._s2112/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2112/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2112/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2112/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2112/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2112/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2112/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2112/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2112/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2112/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2112/L1C0 .functor AND, L_inst_exec._s2112/L0C0, L_inst_exec._s2112/L0C1, L_inst_exec._s2112/L0C2, L_inst_exec._s2112/L0C3;
L_inst_exec._s2112/L1C1 .functor AND, L_inst_exec._s2112/L0C4, L_inst_exec._s2112/L0C5, L_inst_exec._s2112/L0C6, L_inst_exec._s2112/L0C7;
L_inst_exec._s2112/L1C2 .functor AND, L_inst_exec._s2112/L0C8, L_inst_exec._s2112/L0C9, C<1>, C<1>;
L_inst_exec._s2112 .functor AND, L_inst_exec._s2112/L1C0, L_inst_exec._s2112/L1C1, L_inst_exec._s2112/L1C2, C<1>;
L_inst_exec._s2117/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2117/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2117/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2117/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2117/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2117/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2117/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2117/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2117/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2117/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2117/L1C0 .functor AND, L_inst_exec._s2117/L0C0, L_inst_exec._s2117/L0C1, L_inst_exec._s2117/L0C2, L_inst_exec._s2117/L0C3;
L_inst_exec._s2117/L1C1 .functor AND, L_inst_exec._s2117/L0C4, L_inst_exec._s2117/L0C5, L_inst_exec._s2117/L0C6, L_inst_exec._s2117/L0C7;
L_inst_exec._s2117/L1C2 .functor AND, L_inst_exec._s2117/L0C8, L_inst_exec._s2117/L0C9, C<1>, C<1>;
L_inst_exec._s2117 .functor AND, L_inst_exec._s2117/L1C0, L_inst_exec._s2117/L1C1, L_inst_exec._s2117/L1C2, C<1>;
L_inst_exec._s2122/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2122/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2122/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2122/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2122/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2122/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2122/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2122/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2122/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2122/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2122/L1C0 .functor AND, L_inst_exec._s2122/L0C0, L_inst_exec._s2122/L0C1, L_inst_exec._s2122/L0C2, L_inst_exec._s2122/L0C3;
L_inst_exec._s2122/L1C1 .functor AND, L_inst_exec._s2122/L0C4, L_inst_exec._s2122/L0C5, L_inst_exec._s2122/L0C6, L_inst_exec._s2122/L0C7;
L_inst_exec._s2122/L1C2 .functor AND, L_inst_exec._s2122/L0C8, L_inst_exec._s2122/L0C9, C<1>, C<1>;
L_inst_exec._s2122 .functor AND, L_inst_exec._s2122/L1C0, L_inst_exec._s2122/L1C1, L_inst_exec._s2122/L1C2, C<1>;
L_inst_exec._s2127/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2127/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2127/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2127/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2127/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2127/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2127/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2127/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2127/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2127/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2127/L1C0 .functor AND, L_inst_exec._s2127/L0C0, L_inst_exec._s2127/L0C1, L_inst_exec._s2127/L0C2, L_inst_exec._s2127/L0C3;
L_inst_exec._s2127/L1C1 .functor AND, L_inst_exec._s2127/L0C4, L_inst_exec._s2127/L0C5, L_inst_exec._s2127/L0C6, L_inst_exec._s2127/L0C7;
L_inst_exec._s2127/L1C2 .functor AND, L_inst_exec._s2127/L0C8, L_inst_exec._s2127/L0C9, C<1>, C<1>;
L_inst_exec._s2127 .functor AND, L_inst_exec._s2127/L1C0, L_inst_exec._s2127/L1C1, L_inst_exec._s2127/L1C2, C<1>;
L_inst_exec._s2132/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2132/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2132/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2132/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2132/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2132/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2132/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2132/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2132/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2132/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2132/L1C0 .functor AND, L_inst_exec._s2132/L0C0, L_inst_exec._s2132/L0C1, L_inst_exec._s2132/L0C2, L_inst_exec._s2132/L0C3;
L_inst_exec._s2132/L1C1 .functor AND, L_inst_exec._s2132/L0C4, L_inst_exec._s2132/L0C5, L_inst_exec._s2132/L0C6, L_inst_exec._s2132/L0C7;
L_inst_exec._s2132/L1C2 .functor AND, L_inst_exec._s2132/L0C8, L_inst_exec._s2132/L0C9, C<1>, C<1>;
L_inst_exec._s2132 .functor AND, L_inst_exec._s2132/L1C0, L_inst_exec._s2132/L1C1, L_inst_exec._s2132/L1C2, C<1>;
L_inst_exec._s2137/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2137/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2137/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2137/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2137/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2137/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2137/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2137/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2137/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2137/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2137/L1C0 .functor AND, L_inst_exec._s2137/L0C0, L_inst_exec._s2137/L0C1, L_inst_exec._s2137/L0C2, L_inst_exec._s2137/L0C3;
L_inst_exec._s2137/L1C1 .functor AND, L_inst_exec._s2137/L0C4, L_inst_exec._s2137/L0C5, L_inst_exec._s2137/L0C6, L_inst_exec._s2137/L0C7;
L_inst_exec._s2137/L1C2 .functor AND, L_inst_exec._s2137/L0C8, L_inst_exec._s2137/L0C9, C<1>, C<1>;
L_inst_exec._s2137 .functor AND, L_inst_exec._s2137/L1C0, L_inst_exec._s2137/L1C1, L_inst_exec._s2137/L1C2, C<1>;
L_inst_exec._s2142/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2142/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2142/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2142/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2142/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2142/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2142/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2142/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2142/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2142/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2142/L1C0 .functor AND, L_inst_exec._s2142/L0C0, L_inst_exec._s2142/L0C1, L_inst_exec._s2142/L0C2, L_inst_exec._s2142/L0C3;
L_inst_exec._s2142/L1C1 .functor AND, L_inst_exec._s2142/L0C4, L_inst_exec._s2142/L0C5, L_inst_exec._s2142/L0C6, L_inst_exec._s2142/L0C7;
L_inst_exec._s2142/L1C2 .functor AND, L_inst_exec._s2142/L0C8, L_inst_exec._s2142/L0C9, C<1>, C<1>;
L_inst_exec._s2142 .functor AND, L_inst_exec._s2142/L1C0, L_inst_exec._s2142/L1C1, L_inst_exec._s2142/L1C2, C<1>;
L_inst_exec._s2147/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2147/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2147/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2147/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2147/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2147/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2147/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2147/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2147/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2147/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2147/L1C0 .functor AND, L_inst_exec._s2147/L0C0, L_inst_exec._s2147/L0C1, L_inst_exec._s2147/L0C2, L_inst_exec._s2147/L0C3;
L_inst_exec._s2147/L1C1 .functor AND, L_inst_exec._s2147/L0C4, L_inst_exec._s2147/L0C5, L_inst_exec._s2147/L0C6, L_inst_exec._s2147/L0C7;
L_inst_exec._s2147/L1C2 .functor AND, L_inst_exec._s2147/L0C8, L_inst_exec._s2147/L0C9, C<1>, C<1>;
L_inst_exec._s2147 .functor AND, L_inst_exec._s2147/L1C0, L_inst_exec._s2147/L1C1, L_inst_exec._s2147/L1C2, C<1>;
L_inst_exec._s2152/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2152/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2152/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2152/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2152/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2152/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2152/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2152/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2152/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2152/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2152/L1C0 .functor AND, L_inst_exec._s2152/L0C0, L_inst_exec._s2152/L0C1, L_inst_exec._s2152/L0C2, L_inst_exec._s2152/L0C3;
L_inst_exec._s2152/L1C1 .functor AND, L_inst_exec._s2152/L0C4, L_inst_exec._s2152/L0C5, L_inst_exec._s2152/L0C6, L_inst_exec._s2152/L0C7;
L_inst_exec._s2152/L1C2 .functor AND, L_inst_exec._s2152/L0C8, L_inst_exec._s2152/L0C9, C<1>, C<1>;
L_inst_exec._s2152 .functor AND, L_inst_exec._s2152/L1C0, L_inst_exec._s2152/L1C1, L_inst_exec._s2152/L1C2, C<1>;
L_inst_exec._s2157/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2157/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2157/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2157/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2157/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2157/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2157/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2157/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2157/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2157/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2157/L1C0 .functor AND, L_inst_exec._s2157/L0C0, L_inst_exec._s2157/L0C1, L_inst_exec._s2157/L0C2, L_inst_exec._s2157/L0C3;
L_inst_exec._s2157/L1C1 .functor AND, L_inst_exec._s2157/L0C4, L_inst_exec._s2157/L0C5, L_inst_exec._s2157/L0C6, L_inst_exec._s2157/L0C7;
L_inst_exec._s2157/L1C2 .functor AND, L_inst_exec._s2157/L0C8, L_inst_exec._s2157/L0C9, C<1>, C<1>;
L_inst_exec._s2157 .functor AND, L_inst_exec._s2157/L1C0, L_inst_exec._s2157/L1C1, L_inst_exec._s2157/L1C2, C<1>;
L_inst_exec._s2162/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2162/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2162/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2162/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2162/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2162/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2162/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2162/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2162/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2162/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2162/L1C0 .functor AND, L_inst_exec._s2162/L0C0, L_inst_exec._s2162/L0C1, L_inst_exec._s2162/L0C2, L_inst_exec._s2162/L0C3;
L_inst_exec._s2162/L1C1 .functor AND, L_inst_exec._s2162/L0C4, L_inst_exec._s2162/L0C5, L_inst_exec._s2162/L0C6, L_inst_exec._s2162/L0C7;
L_inst_exec._s2162/L1C2 .functor AND, L_inst_exec._s2162/L0C8, L_inst_exec._s2162/L0C9, C<1>, C<1>;
L_inst_exec._s2162 .functor AND, L_inst_exec._s2162/L1C0, L_inst_exec._s2162/L1C1, L_inst_exec._s2162/L1C2, C<1>;
L_inst_exec._s2167/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2167/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2167/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2167/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2167/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2167/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2167/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2167/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2167/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2167/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2167/L1C0 .functor AND, L_inst_exec._s2167/L0C0, L_inst_exec._s2167/L0C1, L_inst_exec._s2167/L0C2, L_inst_exec._s2167/L0C3;
L_inst_exec._s2167/L1C1 .functor AND, L_inst_exec._s2167/L0C4, L_inst_exec._s2167/L0C5, L_inst_exec._s2167/L0C6, L_inst_exec._s2167/L0C7;
L_inst_exec._s2167/L1C2 .functor AND, L_inst_exec._s2167/L0C8, L_inst_exec._s2167/L0C9, C<1>, C<1>;
L_inst_exec._s2167 .functor AND, L_inst_exec._s2167/L1C0, L_inst_exec._s2167/L1C1, L_inst_exec._s2167/L1C2, C<1>;
L_inst_exec._s2172/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2172/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2172/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2172/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2172/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2172/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2172/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2172/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2172/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2172/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2172/L1C0 .functor AND, L_inst_exec._s2172/L0C0, L_inst_exec._s2172/L0C1, L_inst_exec._s2172/L0C2, L_inst_exec._s2172/L0C3;
L_inst_exec._s2172/L1C1 .functor AND, L_inst_exec._s2172/L0C4, L_inst_exec._s2172/L0C5, L_inst_exec._s2172/L0C6, L_inst_exec._s2172/L0C7;
L_inst_exec._s2172/L1C2 .functor AND, L_inst_exec._s2172/L0C8, L_inst_exec._s2172/L0C9, C<1>, C<1>;
L_inst_exec._s2172 .functor AND, L_inst_exec._s2172/L1C0, L_inst_exec._s2172/L1C1, L_inst_exec._s2172/L1C2, C<1>;
L_inst_exec._s2177/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2177/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2177/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2177/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2177/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2177/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2177/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2177/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2177/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2177/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2177/L1C0 .functor AND, L_inst_exec._s2177/L0C0, L_inst_exec._s2177/L0C1, L_inst_exec._s2177/L0C2, L_inst_exec._s2177/L0C3;
L_inst_exec._s2177/L1C1 .functor AND, L_inst_exec._s2177/L0C4, L_inst_exec._s2177/L0C5, L_inst_exec._s2177/L0C6, L_inst_exec._s2177/L0C7;
L_inst_exec._s2177/L1C2 .functor AND, L_inst_exec._s2177/L0C8, L_inst_exec._s2177/L0C9, C<1>, C<1>;
L_inst_exec._s2177 .functor AND, L_inst_exec._s2177/L1C0, L_inst_exec._s2177/L1C1, L_inst_exec._s2177/L1C2, C<1>;
L_inst_exec._s2182/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2182/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2182/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2182/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2182/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2182/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2182/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2182/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2182/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2182/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2182/L1C0 .functor AND, L_inst_exec._s2182/L0C0, L_inst_exec._s2182/L0C1, L_inst_exec._s2182/L0C2, L_inst_exec._s2182/L0C3;
L_inst_exec._s2182/L1C1 .functor AND, L_inst_exec._s2182/L0C4, L_inst_exec._s2182/L0C5, L_inst_exec._s2182/L0C6, L_inst_exec._s2182/L0C7;
L_inst_exec._s2182/L1C2 .functor AND, L_inst_exec._s2182/L0C8, L_inst_exec._s2182/L0C9, C<1>, C<1>;
L_inst_exec._s2182 .functor AND, L_inst_exec._s2182/L1C0, L_inst_exec._s2182/L1C1, L_inst_exec._s2182/L1C2, C<1>;
L_inst_exec._s2187/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2187/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2187/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2187/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2187/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2187/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2187/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2187/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2187/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2187/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2187/L1C0 .functor AND, L_inst_exec._s2187/L0C0, L_inst_exec._s2187/L0C1, L_inst_exec._s2187/L0C2, L_inst_exec._s2187/L0C3;
L_inst_exec._s2187/L1C1 .functor AND, L_inst_exec._s2187/L0C4, L_inst_exec._s2187/L0C5, L_inst_exec._s2187/L0C6, L_inst_exec._s2187/L0C7;
L_inst_exec._s2187/L1C2 .functor AND, L_inst_exec._s2187/L0C8, L_inst_exec._s2187/L0C9, C<1>, C<1>;
L_inst_exec._s2187 .functor AND, L_inst_exec._s2187/L1C0, L_inst_exec._s2187/L1C1, L_inst_exec._s2187/L1C2, C<1>;
L_inst_exec._s2192/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2192/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2192/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2192/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2192/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2192/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2192/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2192/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2192/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2192/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2192/L1C0 .functor AND, L_inst_exec._s2192/L0C0, L_inst_exec._s2192/L0C1, L_inst_exec._s2192/L0C2, L_inst_exec._s2192/L0C3;
L_inst_exec._s2192/L1C1 .functor AND, L_inst_exec._s2192/L0C4, L_inst_exec._s2192/L0C5, L_inst_exec._s2192/L0C6, L_inst_exec._s2192/L0C7;
L_inst_exec._s2192/L1C2 .functor AND, L_inst_exec._s2192/L0C8, L_inst_exec._s2192/L0C9, C<1>, C<1>;
L_inst_exec._s2192 .functor AND, L_inst_exec._s2192/L1C0, L_inst_exec._s2192/L1C1, L_inst_exec._s2192/L1C2, C<1>;
L_inst_exec._s2197/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2197/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2197/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2197/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2197/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2197/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2197/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2197/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2197/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2197/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2197/L1C0 .functor AND, L_inst_exec._s2197/L0C0, L_inst_exec._s2197/L0C1, L_inst_exec._s2197/L0C2, L_inst_exec._s2197/L0C3;
L_inst_exec._s2197/L1C1 .functor AND, L_inst_exec._s2197/L0C4, L_inst_exec._s2197/L0C5, L_inst_exec._s2197/L0C6, L_inst_exec._s2197/L0C7;
L_inst_exec._s2197/L1C2 .functor AND, L_inst_exec._s2197/L0C8, L_inst_exec._s2197/L0C9, C<1>, C<1>;
L_inst_exec._s2197 .functor AND, L_inst_exec._s2197/L1C0, L_inst_exec._s2197/L1C1, L_inst_exec._s2197/L1C2, C<1>;
L_inst_exec._s2202/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2202/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2202/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2202/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2202/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2202/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2202/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2202/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2202/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2202/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2202/L1C0 .functor AND, L_inst_exec._s2202/L0C0, L_inst_exec._s2202/L0C1, L_inst_exec._s2202/L0C2, L_inst_exec._s2202/L0C3;
L_inst_exec._s2202/L1C1 .functor AND, L_inst_exec._s2202/L0C4, L_inst_exec._s2202/L0C5, L_inst_exec._s2202/L0C6, L_inst_exec._s2202/L0C7;
L_inst_exec._s2202/L1C2 .functor AND, L_inst_exec._s2202/L0C8, L_inst_exec._s2202/L0C9, C<1>, C<1>;
L_inst_exec._s2202 .functor AND, L_inst_exec._s2202/L1C0, L_inst_exec._s2202/L1C1, L_inst_exec._s2202/L1C2, C<1>;
L_inst_exec._s2207/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2207/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2207/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2207/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2207/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2207/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2207/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2207/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2207/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2207/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2207/L1C0 .functor AND, L_inst_exec._s2207/L0C0, L_inst_exec._s2207/L0C1, L_inst_exec._s2207/L0C2, L_inst_exec._s2207/L0C3;
L_inst_exec._s2207/L1C1 .functor AND, L_inst_exec._s2207/L0C4, L_inst_exec._s2207/L0C5, L_inst_exec._s2207/L0C6, L_inst_exec._s2207/L0C7;
L_inst_exec._s2207/L1C2 .functor AND, L_inst_exec._s2207/L0C8, L_inst_exec._s2207/L0C9, C<1>, C<1>;
L_inst_exec._s2207 .functor AND, L_inst_exec._s2207/L1C0, L_inst_exec._s2207/L1C1, L_inst_exec._s2207/L1C2, C<1>;
L_inst_exec._s2212/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2212/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2212/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2212/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2212/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2212/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2212/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2212/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2212/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2212/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2212/L1C0 .functor AND, L_inst_exec._s2212/L0C0, L_inst_exec._s2212/L0C1, L_inst_exec._s2212/L0C2, L_inst_exec._s2212/L0C3;
L_inst_exec._s2212/L1C1 .functor AND, L_inst_exec._s2212/L0C4, L_inst_exec._s2212/L0C5, L_inst_exec._s2212/L0C6, L_inst_exec._s2212/L0C7;
L_inst_exec._s2212/L1C2 .functor AND, L_inst_exec._s2212/L0C8, L_inst_exec._s2212/L0C9, C<1>, C<1>;
L_inst_exec._s2212 .functor AND, L_inst_exec._s2212/L1C0, L_inst_exec._s2212/L1C1, L_inst_exec._s2212/L1C2, C<1>;
L_inst_exec._s2217/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2217/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2217/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2217/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2217/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2217/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2217/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2217/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2217/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2217/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2217/L1C0 .functor AND, L_inst_exec._s2217/L0C0, L_inst_exec._s2217/L0C1, L_inst_exec._s2217/L0C2, L_inst_exec._s2217/L0C3;
L_inst_exec._s2217/L1C1 .functor AND, L_inst_exec._s2217/L0C4, L_inst_exec._s2217/L0C5, L_inst_exec._s2217/L0C6, L_inst_exec._s2217/L0C7;
L_inst_exec._s2217/L1C2 .functor AND, L_inst_exec._s2217/L0C8, L_inst_exec._s2217/L0C9, C<1>, C<1>;
L_inst_exec._s2217 .functor AND, L_inst_exec._s2217/L1C0, L_inst_exec._s2217/L1C1, L_inst_exec._s2217/L1C2, C<1>;
L_inst_exec._s2222/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2222/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2222/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2222/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2222/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2222/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2222/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2222/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2222/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2222/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2222/L1C0 .functor AND, L_inst_exec._s2222/L0C0, L_inst_exec._s2222/L0C1, L_inst_exec._s2222/L0C2, L_inst_exec._s2222/L0C3;
L_inst_exec._s2222/L1C1 .functor AND, L_inst_exec._s2222/L0C4, L_inst_exec._s2222/L0C5, L_inst_exec._s2222/L0C6, L_inst_exec._s2222/L0C7;
L_inst_exec._s2222/L1C2 .functor AND, L_inst_exec._s2222/L0C8, L_inst_exec._s2222/L0C9, C<1>, C<1>;
L_inst_exec._s2222 .functor AND, L_inst_exec._s2222/L1C0, L_inst_exec._s2222/L1C1, L_inst_exec._s2222/L1C2, C<1>;
L_inst_exec._s2227/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2227/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2227/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2227/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2227/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2227/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2227/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2227/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2227/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2227/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2227/L1C0 .functor AND, L_inst_exec._s2227/L0C0, L_inst_exec._s2227/L0C1, L_inst_exec._s2227/L0C2, L_inst_exec._s2227/L0C3;
L_inst_exec._s2227/L1C1 .functor AND, L_inst_exec._s2227/L0C4, L_inst_exec._s2227/L0C5, L_inst_exec._s2227/L0C6, L_inst_exec._s2227/L0C7;
L_inst_exec._s2227/L1C2 .functor AND, L_inst_exec._s2227/L0C8, L_inst_exec._s2227/L0C9, C<1>, C<1>;
L_inst_exec._s2227 .functor AND, L_inst_exec._s2227/L1C0, L_inst_exec._s2227/L1C1, L_inst_exec._s2227/L1C2, C<1>;
L_inst_exec._s2232/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2232/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2232/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2232/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2232/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2232/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2232/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2232/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2232/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2232/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2232/L1C0 .functor AND, L_inst_exec._s2232/L0C0, L_inst_exec._s2232/L0C1, L_inst_exec._s2232/L0C2, L_inst_exec._s2232/L0C3;
L_inst_exec._s2232/L1C1 .functor AND, L_inst_exec._s2232/L0C4, L_inst_exec._s2232/L0C5, L_inst_exec._s2232/L0C6, L_inst_exec._s2232/L0C7;
L_inst_exec._s2232/L1C2 .functor AND, L_inst_exec._s2232/L0C8, L_inst_exec._s2232/L0C9, C<1>, C<1>;
L_inst_exec._s2232 .functor AND, L_inst_exec._s2232/L1C0, L_inst_exec._s2232/L1C1, L_inst_exec._s2232/L1C2, C<1>;
L_inst_exec._s2237/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2237/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2237/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2237/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2237/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2237/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2237/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2237/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2237/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2237/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2237/L1C0 .functor AND, L_inst_exec._s2237/L0C0, L_inst_exec._s2237/L0C1, L_inst_exec._s2237/L0C2, L_inst_exec._s2237/L0C3;
L_inst_exec._s2237/L1C1 .functor AND, L_inst_exec._s2237/L0C4, L_inst_exec._s2237/L0C5, L_inst_exec._s2237/L0C6, L_inst_exec._s2237/L0C7;
L_inst_exec._s2237/L1C2 .functor AND, L_inst_exec._s2237/L0C8, L_inst_exec._s2237/L0C9, C<1>, C<1>;
L_inst_exec._s2237 .functor AND, L_inst_exec._s2237/L1C0, L_inst_exec._s2237/L1C1, L_inst_exec._s2237/L1C2, C<1>;
L_inst_exec._s2242/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2242/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2242/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2242/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2242/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2242/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2242/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2242/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2242/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2242/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2242/L1C0 .functor AND, L_inst_exec._s2242/L0C0, L_inst_exec._s2242/L0C1, L_inst_exec._s2242/L0C2, L_inst_exec._s2242/L0C3;
L_inst_exec._s2242/L1C1 .functor AND, L_inst_exec._s2242/L0C4, L_inst_exec._s2242/L0C5, L_inst_exec._s2242/L0C6, L_inst_exec._s2242/L0C7;
L_inst_exec._s2242/L1C2 .functor AND, L_inst_exec._s2242/L0C8, L_inst_exec._s2242/L0C9, C<1>, C<1>;
L_inst_exec._s2242 .functor AND, L_inst_exec._s2242/L1C0, L_inst_exec._s2242/L1C1, L_inst_exec._s2242/L1C2, C<1>;
L_inst_exec._s2247/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2247/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2247/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2247/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2247/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2247/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2247/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2247/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2247/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2247/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2247/L1C0 .functor AND, L_inst_exec._s2247/L0C0, L_inst_exec._s2247/L0C1, L_inst_exec._s2247/L0C2, L_inst_exec._s2247/L0C3;
L_inst_exec._s2247/L1C1 .functor AND, L_inst_exec._s2247/L0C4, L_inst_exec._s2247/L0C5, L_inst_exec._s2247/L0C6, L_inst_exec._s2247/L0C7;
L_inst_exec._s2247/L1C2 .functor AND, L_inst_exec._s2247/L0C8, L_inst_exec._s2247/L0C9, C<1>, C<1>;
L_inst_exec._s2247 .functor AND, L_inst_exec._s2247/L1C0, L_inst_exec._s2247/L1C1, L_inst_exec._s2247/L1C2, C<1>;
L_inst_exec._s2252/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2252/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2252/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2252/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2252/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2252/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2252/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2252/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2252/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2252/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2252/L1C0 .functor AND, L_inst_exec._s2252/L0C0, L_inst_exec._s2252/L0C1, L_inst_exec._s2252/L0C2, L_inst_exec._s2252/L0C3;
L_inst_exec._s2252/L1C1 .functor AND, L_inst_exec._s2252/L0C4, L_inst_exec._s2252/L0C5, L_inst_exec._s2252/L0C6, L_inst_exec._s2252/L0C7;
L_inst_exec._s2252/L1C2 .functor AND, L_inst_exec._s2252/L0C8, L_inst_exec._s2252/L0C9, C<1>, C<1>;
L_inst_exec._s2252 .functor AND, L_inst_exec._s2252/L1C0, L_inst_exec._s2252/L1C1, L_inst_exec._s2252/L1C2, C<1>;
L_inst_exec._s2257/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2257/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2257/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2257/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2257/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2257/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2257/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2257/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2257/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2257/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2257/L1C0 .functor AND, L_inst_exec._s2257/L0C0, L_inst_exec._s2257/L0C1, L_inst_exec._s2257/L0C2, L_inst_exec._s2257/L0C3;
L_inst_exec._s2257/L1C1 .functor AND, L_inst_exec._s2257/L0C4, L_inst_exec._s2257/L0C5, L_inst_exec._s2257/L0C6, L_inst_exec._s2257/L0C7;
L_inst_exec._s2257/L1C2 .functor AND, L_inst_exec._s2257/L0C8, L_inst_exec._s2257/L0C9, C<1>, C<1>;
L_inst_exec._s2257 .functor AND, L_inst_exec._s2257/L1C0, L_inst_exec._s2257/L1C1, L_inst_exec._s2257/L1C2, C<1>;
L_inst_exec._s2262/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2262/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2262/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2262/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2262/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2262/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2262/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2262/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2262/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2262/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2262/L1C0 .functor AND, L_inst_exec._s2262/L0C0, L_inst_exec._s2262/L0C1, L_inst_exec._s2262/L0C2, L_inst_exec._s2262/L0C3;
L_inst_exec._s2262/L1C1 .functor AND, L_inst_exec._s2262/L0C4, L_inst_exec._s2262/L0C5, L_inst_exec._s2262/L0C6, L_inst_exec._s2262/L0C7;
L_inst_exec._s2262/L1C2 .functor AND, L_inst_exec._s2262/L0C8, L_inst_exec._s2262/L0C9, C<1>, C<1>;
L_inst_exec._s2262 .functor AND, L_inst_exec._s2262/L1C0, L_inst_exec._s2262/L1C1, L_inst_exec._s2262/L1C2, C<1>;
L_inst_exec._s2267/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2267/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2267/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2267/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2267/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2267/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2267/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2267/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2267/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2267/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2267/L1C0 .functor AND, L_inst_exec._s2267/L0C0, L_inst_exec._s2267/L0C1, L_inst_exec._s2267/L0C2, L_inst_exec._s2267/L0C3;
L_inst_exec._s2267/L1C1 .functor AND, L_inst_exec._s2267/L0C4, L_inst_exec._s2267/L0C5, L_inst_exec._s2267/L0C6, L_inst_exec._s2267/L0C7;
L_inst_exec._s2267/L1C2 .functor AND, L_inst_exec._s2267/L0C8, L_inst_exec._s2267/L0C9, C<1>, C<1>;
L_inst_exec._s2267 .functor AND, L_inst_exec._s2267/L1C0, L_inst_exec._s2267/L1C1, L_inst_exec._s2267/L1C2, C<1>;
L_inst_exec._s2272/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2272/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2272/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2272/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2272/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2272/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2272/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2272/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2272/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2272/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2272/L1C0 .functor AND, L_inst_exec._s2272/L0C0, L_inst_exec._s2272/L0C1, L_inst_exec._s2272/L0C2, L_inst_exec._s2272/L0C3;
L_inst_exec._s2272/L1C1 .functor AND, L_inst_exec._s2272/L0C4, L_inst_exec._s2272/L0C5, L_inst_exec._s2272/L0C6, L_inst_exec._s2272/L0C7;
L_inst_exec._s2272/L1C2 .functor AND, L_inst_exec._s2272/L0C8, L_inst_exec._s2272/L0C9, C<1>, C<1>;
L_inst_exec._s2272 .functor AND, L_inst_exec._s2272/L1C0, L_inst_exec._s2272/L1C1, L_inst_exec._s2272/L1C2, C<1>;
L_inst_exec._s2277/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2277/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2277/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2277/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2277/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2277/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2277/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2277/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2277/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2277/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2277/L1C0 .functor AND, L_inst_exec._s2277/L0C0, L_inst_exec._s2277/L0C1, L_inst_exec._s2277/L0C2, L_inst_exec._s2277/L0C3;
L_inst_exec._s2277/L1C1 .functor AND, L_inst_exec._s2277/L0C4, L_inst_exec._s2277/L0C5, L_inst_exec._s2277/L0C6, L_inst_exec._s2277/L0C7;
L_inst_exec._s2277/L1C2 .functor AND, L_inst_exec._s2277/L0C8, L_inst_exec._s2277/L0C9, C<1>, C<1>;
L_inst_exec._s2277 .functor AND, L_inst_exec._s2277/L1C0, L_inst_exec._s2277/L1C1, L_inst_exec._s2277/L1C2, C<1>;
L_inst_exec._s2282/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2282/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2282/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2282/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2282/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2282/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2282/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2282/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2282/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2282/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2282/L1C0 .functor AND, L_inst_exec._s2282/L0C0, L_inst_exec._s2282/L0C1, L_inst_exec._s2282/L0C2, L_inst_exec._s2282/L0C3;
L_inst_exec._s2282/L1C1 .functor AND, L_inst_exec._s2282/L0C4, L_inst_exec._s2282/L0C5, L_inst_exec._s2282/L0C6, L_inst_exec._s2282/L0C7;
L_inst_exec._s2282/L1C2 .functor AND, L_inst_exec._s2282/L0C8, L_inst_exec._s2282/L0C9, C<1>, C<1>;
L_inst_exec._s2282 .functor AND, L_inst_exec._s2282/L1C0, L_inst_exec._s2282/L1C1, L_inst_exec._s2282/L1C2, C<1>;
L_inst_exec._s2287/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2287/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2287/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2287/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2287/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2287/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2287/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2287/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2287/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2287/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2287/L1C0 .functor AND, L_inst_exec._s2287/L0C0, L_inst_exec._s2287/L0C1, L_inst_exec._s2287/L0C2, L_inst_exec._s2287/L0C3;
L_inst_exec._s2287/L1C1 .functor AND, L_inst_exec._s2287/L0C4, L_inst_exec._s2287/L0C5, L_inst_exec._s2287/L0C6, L_inst_exec._s2287/L0C7;
L_inst_exec._s2287/L1C2 .functor AND, L_inst_exec._s2287/L0C8, L_inst_exec._s2287/L0C9, C<1>, C<1>;
L_inst_exec._s2287 .functor AND, L_inst_exec._s2287/L1C0, L_inst_exec._s2287/L1C1, L_inst_exec._s2287/L1C2, C<1>;
L_inst_exec._s2292/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2292/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2292/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2292/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2292/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2292/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2292/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2292/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2292/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2292/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2292/L1C0 .functor AND, L_inst_exec._s2292/L0C0, L_inst_exec._s2292/L0C1, L_inst_exec._s2292/L0C2, L_inst_exec._s2292/L0C3;
L_inst_exec._s2292/L1C1 .functor AND, L_inst_exec._s2292/L0C4, L_inst_exec._s2292/L0C5, L_inst_exec._s2292/L0C6, L_inst_exec._s2292/L0C7;
L_inst_exec._s2292/L1C2 .functor AND, L_inst_exec._s2292/L0C8, L_inst_exec._s2292/L0C9, C<1>, C<1>;
L_inst_exec._s2292 .functor AND, L_inst_exec._s2292/L1C0, L_inst_exec._s2292/L1C1, L_inst_exec._s2292/L1C2, C<1>;
L_inst_exec._s2297/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2297/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2297/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2297/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2297/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2297/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2297/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2297/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2297/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2297/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2297/L1C0 .functor AND, L_inst_exec._s2297/L0C0, L_inst_exec._s2297/L0C1, L_inst_exec._s2297/L0C2, L_inst_exec._s2297/L0C3;
L_inst_exec._s2297/L1C1 .functor AND, L_inst_exec._s2297/L0C4, L_inst_exec._s2297/L0C5, L_inst_exec._s2297/L0C6, L_inst_exec._s2297/L0C7;
L_inst_exec._s2297/L1C2 .functor AND, L_inst_exec._s2297/L0C8, L_inst_exec._s2297/L0C9, C<1>, C<1>;
L_inst_exec._s2297 .functor AND, L_inst_exec._s2297/L1C0, L_inst_exec._s2297/L1C1, L_inst_exec._s2297/L1C2, C<1>;
L_inst_exec._s2302/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2302/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2302/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2302/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2302/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2302/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2302/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2302/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2302/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2302/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2302/L1C0 .functor AND, L_inst_exec._s2302/L0C0, L_inst_exec._s2302/L0C1, L_inst_exec._s2302/L0C2, L_inst_exec._s2302/L0C3;
L_inst_exec._s2302/L1C1 .functor AND, L_inst_exec._s2302/L0C4, L_inst_exec._s2302/L0C5, L_inst_exec._s2302/L0C6, L_inst_exec._s2302/L0C7;
L_inst_exec._s2302/L1C2 .functor AND, L_inst_exec._s2302/L0C8, L_inst_exec._s2302/L0C9, C<1>, C<1>;
L_inst_exec._s2302 .functor AND, L_inst_exec._s2302/L1C0, L_inst_exec._s2302/L1C1, L_inst_exec._s2302/L1C2, C<1>;
L_inst_exec._s2307/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2307/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2307/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2307/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2307/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2307/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2307/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2307/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2307/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2307/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2307/L1C0 .functor AND, L_inst_exec._s2307/L0C0, L_inst_exec._s2307/L0C1, L_inst_exec._s2307/L0C2, L_inst_exec._s2307/L0C3;
L_inst_exec._s2307/L1C1 .functor AND, L_inst_exec._s2307/L0C4, L_inst_exec._s2307/L0C5, L_inst_exec._s2307/L0C6, L_inst_exec._s2307/L0C7;
L_inst_exec._s2307/L1C2 .functor AND, L_inst_exec._s2307/L0C8, L_inst_exec._s2307/L0C9, C<1>, C<1>;
L_inst_exec._s2307 .functor AND, L_inst_exec._s2307/L1C0, L_inst_exec._s2307/L1C1, L_inst_exec._s2307/L1C2, C<1>;
L_inst_exec._s2312/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2312/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2312/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2312/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2312/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2312/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2312/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2312/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2312/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2312/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2312/L1C0 .functor AND, L_inst_exec._s2312/L0C0, L_inst_exec._s2312/L0C1, L_inst_exec._s2312/L0C2, L_inst_exec._s2312/L0C3;
L_inst_exec._s2312/L1C1 .functor AND, L_inst_exec._s2312/L0C4, L_inst_exec._s2312/L0C5, L_inst_exec._s2312/L0C6, L_inst_exec._s2312/L0C7;
L_inst_exec._s2312/L1C2 .functor AND, L_inst_exec._s2312/L0C8, L_inst_exec._s2312/L0C9, C<1>, C<1>;
L_inst_exec._s2312 .functor AND, L_inst_exec._s2312/L1C0, L_inst_exec._s2312/L1C1, L_inst_exec._s2312/L1C2, C<1>;
L_inst_exec._s2317/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2317/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2317/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2317/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2317/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2317/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2317/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2317/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2317/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2317/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2317/L1C0 .functor AND, L_inst_exec._s2317/L0C0, L_inst_exec._s2317/L0C1, L_inst_exec._s2317/L0C2, L_inst_exec._s2317/L0C3;
L_inst_exec._s2317/L1C1 .functor AND, L_inst_exec._s2317/L0C4, L_inst_exec._s2317/L0C5, L_inst_exec._s2317/L0C6, L_inst_exec._s2317/L0C7;
L_inst_exec._s2317/L1C2 .functor AND, L_inst_exec._s2317/L0C8, L_inst_exec._s2317/L0C9, C<1>, C<1>;
L_inst_exec._s2317 .functor AND, L_inst_exec._s2317/L1C0, L_inst_exec._s2317/L1C1, L_inst_exec._s2317/L1C2, C<1>;
L_inst_exec._s2322/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2322/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2322/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2322/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2322/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2322/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2322/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2322/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2322/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2322/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2322/L1C0 .functor AND, L_inst_exec._s2322/L0C0, L_inst_exec._s2322/L0C1, L_inst_exec._s2322/L0C2, L_inst_exec._s2322/L0C3;
L_inst_exec._s2322/L1C1 .functor AND, L_inst_exec._s2322/L0C4, L_inst_exec._s2322/L0C5, L_inst_exec._s2322/L0C6, L_inst_exec._s2322/L0C7;
L_inst_exec._s2322/L1C2 .functor AND, L_inst_exec._s2322/L0C8, L_inst_exec._s2322/L0C9, C<1>, C<1>;
L_inst_exec._s2322 .functor AND, L_inst_exec._s2322/L1C0, L_inst_exec._s2322/L1C1, L_inst_exec._s2322/L1C2, C<1>;
L_inst_exec._s2327/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2327/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2327/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2327/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2327/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2327/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2327/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2327/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2327/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2327/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2327/L1C0 .functor AND, L_inst_exec._s2327/L0C0, L_inst_exec._s2327/L0C1, L_inst_exec._s2327/L0C2, L_inst_exec._s2327/L0C3;
L_inst_exec._s2327/L1C1 .functor AND, L_inst_exec._s2327/L0C4, L_inst_exec._s2327/L0C5, L_inst_exec._s2327/L0C6, L_inst_exec._s2327/L0C7;
L_inst_exec._s2327/L1C2 .functor AND, L_inst_exec._s2327/L0C8, L_inst_exec._s2327/L0C9, C<1>, C<1>;
L_inst_exec._s2327 .functor AND, L_inst_exec._s2327/L1C0, L_inst_exec._s2327/L1C1, L_inst_exec._s2327/L1C2, C<1>;
L_inst_exec._s2332/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2332/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2332/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2332/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2332/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2332/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2332/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2332/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2332/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2332/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2332/L1C0 .functor AND, L_inst_exec._s2332/L0C0, L_inst_exec._s2332/L0C1, L_inst_exec._s2332/L0C2, L_inst_exec._s2332/L0C3;
L_inst_exec._s2332/L1C1 .functor AND, L_inst_exec._s2332/L0C4, L_inst_exec._s2332/L0C5, L_inst_exec._s2332/L0C6, L_inst_exec._s2332/L0C7;
L_inst_exec._s2332/L1C2 .functor AND, L_inst_exec._s2332/L0C8, L_inst_exec._s2332/L0C9, C<1>, C<1>;
L_inst_exec._s2332 .functor AND, L_inst_exec._s2332/L1C0, L_inst_exec._s2332/L1C1, L_inst_exec._s2332/L1C2, C<1>;
L_inst_exec._s2337/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2337/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2337/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2337/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2337/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2337/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2337/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2337/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2337/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2337/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2337/L1C0 .functor AND, L_inst_exec._s2337/L0C0, L_inst_exec._s2337/L0C1, L_inst_exec._s2337/L0C2, L_inst_exec._s2337/L0C3;
L_inst_exec._s2337/L1C1 .functor AND, L_inst_exec._s2337/L0C4, L_inst_exec._s2337/L0C5, L_inst_exec._s2337/L0C6, L_inst_exec._s2337/L0C7;
L_inst_exec._s2337/L1C2 .functor AND, L_inst_exec._s2337/L0C8, L_inst_exec._s2337/L0C9, C<1>, C<1>;
L_inst_exec._s2337 .functor AND, L_inst_exec._s2337/L1C0, L_inst_exec._s2337/L1C1, L_inst_exec._s2337/L1C2, C<1>;
L_inst_exec._s2342/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2342/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2342/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2342/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2342/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2342/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2342/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2342/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2342/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2342/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2342/L1C0 .functor AND, L_inst_exec._s2342/L0C0, L_inst_exec._s2342/L0C1, L_inst_exec._s2342/L0C2, L_inst_exec._s2342/L0C3;
L_inst_exec._s2342/L1C1 .functor AND, L_inst_exec._s2342/L0C4, L_inst_exec._s2342/L0C5, L_inst_exec._s2342/L0C6, L_inst_exec._s2342/L0C7;
L_inst_exec._s2342/L1C2 .functor AND, L_inst_exec._s2342/L0C8, L_inst_exec._s2342/L0C9, C<1>, C<1>;
L_inst_exec._s2342 .functor AND, L_inst_exec._s2342/L1C0, L_inst_exec._s2342/L1C1, L_inst_exec._s2342/L1C2, C<1>;
L_inst_exec._s2347/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2347/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2347/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2347/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2347/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2347/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2347/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2347/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2347/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2347/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2347/L1C0 .functor AND, L_inst_exec._s2347/L0C0, L_inst_exec._s2347/L0C1, L_inst_exec._s2347/L0C2, L_inst_exec._s2347/L0C3;
L_inst_exec._s2347/L1C1 .functor AND, L_inst_exec._s2347/L0C4, L_inst_exec._s2347/L0C5, L_inst_exec._s2347/L0C6, L_inst_exec._s2347/L0C7;
L_inst_exec._s2347/L1C2 .functor AND, L_inst_exec._s2347/L0C8, L_inst_exec._s2347/L0C9, C<1>, C<1>;
L_inst_exec._s2347 .functor AND, L_inst_exec._s2347/L1C0, L_inst_exec._s2347/L1C1, L_inst_exec._s2347/L1C2, C<1>;
L_inst_exec._s2352/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2352/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2352/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2352/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2352/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2352/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2352/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2352/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2352/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2352/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2352/L1C0 .functor AND, L_inst_exec._s2352/L0C0, L_inst_exec._s2352/L0C1, L_inst_exec._s2352/L0C2, L_inst_exec._s2352/L0C3;
L_inst_exec._s2352/L1C1 .functor AND, L_inst_exec._s2352/L0C4, L_inst_exec._s2352/L0C5, L_inst_exec._s2352/L0C6, L_inst_exec._s2352/L0C7;
L_inst_exec._s2352/L1C2 .functor AND, L_inst_exec._s2352/L0C8, L_inst_exec._s2352/L0C9, C<1>, C<1>;
L_inst_exec._s2352 .functor AND, L_inst_exec._s2352/L1C0, L_inst_exec._s2352/L1C1, L_inst_exec._s2352/L1C2, C<1>;
L_inst_exec._s2357/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2357/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2357/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2357/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2357/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2357/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2357/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2357/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2357/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2357/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2357/L1C0 .functor AND, L_inst_exec._s2357/L0C0, L_inst_exec._s2357/L0C1, L_inst_exec._s2357/L0C2, L_inst_exec._s2357/L0C3;
L_inst_exec._s2357/L1C1 .functor AND, L_inst_exec._s2357/L0C4, L_inst_exec._s2357/L0C5, L_inst_exec._s2357/L0C6, L_inst_exec._s2357/L0C7;
L_inst_exec._s2357/L1C2 .functor AND, L_inst_exec._s2357/L0C8, L_inst_exec._s2357/L0C9, C<1>, C<1>;
L_inst_exec._s2357 .functor AND, L_inst_exec._s2357/L1C0, L_inst_exec._s2357/L1C1, L_inst_exec._s2357/L1C2, C<1>;
L_inst_exec._s2362/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2362/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2362/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2362/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2362/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2362/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2362/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2362/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2362/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2362/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2362/L1C0 .functor AND, L_inst_exec._s2362/L0C0, L_inst_exec._s2362/L0C1, L_inst_exec._s2362/L0C2, L_inst_exec._s2362/L0C3;
L_inst_exec._s2362/L1C1 .functor AND, L_inst_exec._s2362/L0C4, L_inst_exec._s2362/L0C5, L_inst_exec._s2362/L0C6, L_inst_exec._s2362/L0C7;
L_inst_exec._s2362/L1C2 .functor AND, L_inst_exec._s2362/L0C8, L_inst_exec._s2362/L0C9, C<1>, C<1>;
L_inst_exec._s2362 .functor AND, L_inst_exec._s2362/L1C0, L_inst_exec._s2362/L1C1, L_inst_exec._s2362/L1C2, C<1>;
L_inst_exec._s2367/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2367/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2367/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2367/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2367/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2367/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2367/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2367/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2367/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2367/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2367/L1C0 .functor AND, L_inst_exec._s2367/L0C0, L_inst_exec._s2367/L0C1, L_inst_exec._s2367/L0C2, L_inst_exec._s2367/L0C3;
L_inst_exec._s2367/L1C1 .functor AND, L_inst_exec._s2367/L0C4, L_inst_exec._s2367/L0C5, L_inst_exec._s2367/L0C6, L_inst_exec._s2367/L0C7;
L_inst_exec._s2367/L1C2 .functor AND, L_inst_exec._s2367/L0C8, L_inst_exec._s2367/L0C9, C<1>, C<1>;
L_inst_exec._s2367 .functor AND, L_inst_exec._s2367/L1C0, L_inst_exec._s2367/L1C1, L_inst_exec._s2367/L1C2, C<1>;
L_inst_exec._s2372/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2372/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2372/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2372/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2372/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2372/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2372/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2372/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2372/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2372/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2372/L1C0 .functor AND, L_inst_exec._s2372/L0C0, L_inst_exec._s2372/L0C1, L_inst_exec._s2372/L0C2, L_inst_exec._s2372/L0C3;
L_inst_exec._s2372/L1C1 .functor AND, L_inst_exec._s2372/L0C4, L_inst_exec._s2372/L0C5, L_inst_exec._s2372/L0C6, L_inst_exec._s2372/L0C7;
L_inst_exec._s2372/L1C2 .functor AND, L_inst_exec._s2372/L0C8, L_inst_exec._s2372/L0C9, C<1>, C<1>;
L_inst_exec._s2372 .functor AND, L_inst_exec._s2372/L1C0, L_inst_exec._s2372/L1C1, L_inst_exec._s2372/L1C2, C<1>;
L_inst_exec._s2377/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2377/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2377/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2377/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2377/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2377/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2377/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2377/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2377/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2377/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2377/L1C0 .functor AND, L_inst_exec._s2377/L0C0, L_inst_exec._s2377/L0C1, L_inst_exec._s2377/L0C2, L_inst_exec._s2377/L0C3;
L_inst_exec._s2377/L1C1 .functor AND, L_inst_exec._s2377/L0C4, L_inst_exec._s2377/L0C5, L_inst_exec._s2377/L0C6, L_inst_exec._s2377/L0C7;
L_inst_exec._s2377/L1C2 .functor AND, L_inst_exec._s2377/L0C8, L_inst_exec._s2377/L0C9, C<1>, C<1>;
L_inst_exec._s2377 .functor AND, L_inst_exec._s2377/L1C0, L_inst_exec._s2377/L1C1, L_inst_exec._s2377/L1C2, C<1>;
L_inst_exec._s2380/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2380/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2380/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2380/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2380/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2380/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2380/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2380/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2380/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2380/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2380/L1C0 .functor AND, L_inst_exec._s2380/L0C0, L_inst_exec._s2380/L0C1, L_inst_exec._s2380/L0C2, L_inst_exec._s2380/L0C3;
L_inst_exec._s2380/L1C1 .functor AND, L_inst_exec._s2380/L0C4, L_inst_exec._s2380/L0C5, L_inst_exec._s2380/L0C6, L_inst_exec._s2380/L0C7;
L_inst_exec._s2380/L1C2 .functor AND, L_inst_exec._s2380/L0C8, L_inst_exec._s2380/L0C9, C<1>, C<1>;
L_inst_exec._s2380 .functor AND, L_inst_exec._s2380/L1C0, L_inst_exec._s2380/L1C1, L_inst_exec._s2380/L1C2, C<1>;
L_inst_exec._s2385/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2385/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2385/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2385/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2385/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2385/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2385/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2385/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2385/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2385/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2385/L1C0 .functor AND, L_inst_exec._s2385/L0C0, L_inst_exec._s2385/L0C1, L_inst_exec._s2385/L0C2, L_inst_exec._s2385/L0C3;
L_inst_exec._s2385/L1C1 .functor AND, L_inst_exec._s2385/L0C4, L_inst_exec._s2385/L0C5, L_inst_exec._s2385/L0C6, L_inst_exec._s2385/L0C7;
L_inst_exec._s2385/L1C2 .functor AND, L_inst_exec._s2385/L0C8, L_inst_exec._s2385/L0C9, C<1>, C<1>;
L_inst_exec._s2385 .functor AND, L_inst_exec._s2385/L1C0, L_inst_exec._s2385/L1C1, L_inst_exec._s2385/L1C2, C<1>;
L_inst_exec._s2390/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2390/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2390/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2390/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2390/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2390/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2390/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2390/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2390/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2390/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2390/L1C0 .functor AND, L_inst_exec._s2390/L0C0, L_inst_exec._s2390/L0C1, L_inst_exec._s2390/L0C2, L_inst_exec._s2390/L0C3;
L_inst_exec._s2390/L1C1 .functor AND, L_inst_exec._s2390/L0C4, L_inst_exec._s2390/L0C5, L_inst_exec._s2390/L0C6, L_inst_exec._s2390/L0C7;
L_inst_exec._s2390/L1C2 .functor AND, L_inst_exec._s2390/L0C8, L_inst_exec._s2390/L0C9, C<1>, C<1>;
L_inst_exec._s2390 .functor AND, L_inst_exec._s2390/L1C0, L_inst_exec._s2390/L1C1, L_inst_exec._s2390/L1C2, C<1>;
L_inst_exec._s2395/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2395/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2395/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2395/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2395/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2395/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2395/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2395/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2395/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2395/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2395/L1C0 .functor AND, L_inst_exec._s2395/L0C0, L_inst_exec._s2395/L0C1, L_inst_exec._s2395/L0C2, L_inst_exec._s2395/L0C3;
L_inst_exec._s2395/L1C1 .functor AND, L_inst_exec._s2395/L0C4, L_inst_exec._s2395/L0C5, L_inst_exec._s2395/L0C6, L_inst_exec._s2395/L0C7;
L_inst_exec._s2395/L1C2 .functor AND, L_inst_exec._s2395/L0C8, L_inst_exec._s2395/L0C9, C<1>, C<1>;
L_inst_exec._s2395 .functor AND, L_inst_exec._s2395/L1C0, L_inst_exec._s2395/L1C1, L_inst_exec._s2395/L1C2, C<1>;
L_inst_exec._s2398/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2398/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2398/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2398/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2398/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2398/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2398/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2398/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2398/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2398/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2398/L1C0 .functor AND, L_inst_exec._s2398/L0C0, L_inst_exec._s2398/L0C1, L_inst_exec._s2398/L0C2, L_inst_exec._s2398/L0C3;
L_inst_exec._s2398/L1C1 .functor AND, L_inst_exec._s2398/L0C4, L_inst_exec._s2398/L0C5, L_inst_exec._s2398/L0C6, L_inst_exec._s2398/L0C7;
L_inst_exec._s2398/L1C2 .functor AND, L_inst_exec._s2398/L0C8, L_inst_exec._s2398/L0C9, C<1>, C<1>;
L_inst_exec._s2398 .functor AND, L_inst_exec._s2398/L1C0, L_inst_exec._s2398/L1C1, L_inst_exec._s2398/L1C2, C<1>;
L_inst_exec._s2403/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2403/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2403/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2403/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2403/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2403/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2403/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2403/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2403/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2403/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2403/L1C0 .functor AND, L_inst_exec._s2403/L0C0, L_inst_exec._s2403/L0C1, L_inst_exec._s2403/L0C2, L_inst_exec._s2403/L0C3;
L_inst_exec._s2403/L1C1 .functor AND, L_inst_exec._s2403/L0C4, L_inst_exec._s2403/L0C5, L_inst_exec._s2403/L0C6, L_inst_exec._s2403/L0C7;
L_inst_exec._s2403/L1C2 .functor AND, L_inst_exec._s2403/L0C8, L_inst_exec._s2403/L0C9, C<1>, C<1>;
L_inst_exec._s2403 .functor AND, L_inst_exec._s2403/L1C0, L_inst_exec._s2403/L1C1, L_inst_exec._s2403/L1C2, C<1>;
L_inst_exec._s2408/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2408/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2408/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2408/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2408/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2408/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2408/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2408/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2408/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2408/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2408/L1C0 .functor AND, L_inst_exec._s2408/L0C0, L_inst_exec._s2408/L0C1, L_inst_exec._s2408/L0C2, L_inst_exec._s2408/L0C3;
L_inst_exec._s2408/L1C1 .functor AND, L_inst_exec._s2408/L0C4, L_inst_exec._s2408/L0C5, L_inst_exec._s2408/L0C6, L_inst_exec._s2408/L0C7;
L_inst_exec._s2408/L1C2 .functor AND, L_inst_exec._s2408/L0C8, L_inst_exec._s2408/L0C9, C<1>, C<1>;
L_inst_exec._s2408 .functor AND, L_inst_exec._s2408/L1C0, L_inst_exec._s2408/L1C1, L_inst_exec._s2408/L1C2, C<1>;
L_inst_exec._s2413/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2413/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2413/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2413/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2413/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2413/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2413/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2413/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2413/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2413/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2413/L1C0 .functor AND, L_inst_exec._s2413/L0C0, L_inst_exec._s2413/L0C1, L_inst_exec._s2413/L0C2, L_inst_exec._s2413/L0C3;
L_inst_exec._s2413/L1C1 .functor AND, L_inst_exec._s2413/L0C4, L_inst_exec._s2413/L0C5, L_inst_exec._s2413/L0C6, L_inst_exec._s2413/L0C7;
L_inst_exec._s2413/L1C2 .functor AND, L_inst_exec._s2413/L0C8, L_inst_exec._s2413/L0C9, C<1>, C<1>;
L_inst_exec._s2413 .functor AND, L_inst_exec._s2413/L1C0, L_inst_exec._s2413/L1C1, L_inst_exec._s2413/L1C2, C<1>;
L_inst_exec._s2418/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2418/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2418/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2418/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2418/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2418/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2418/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2418/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2418/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2418/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2418/L1C0 .functor AND, L_inst_exec._s2418/L0C0, L_inst_exec._s2418/L0C1, L_inst_exec._s2418/L0C2, L_inst_exec._s2418/L0C3;
L_inst_exec._s2418/L1C1 .functor AND, L_inst_exec._s2418/L0C4, L_inst_exec._s2418/L0C5, L_inst_exec._s2418/L0C6, L_inst_exec._s2418/L0C7;
L_inst_exec._s2418/L1C2 .functor AND, L_inst_exec._s2418/L0C8, L_inst_exec._s2418/L0C9, C<1>, C<1>;
L_inst_exec._s2418 .functor AND, L_inst_exec._s2418/L1C0, L_inst_exec._s2418/L1C1, L_inst_exec._s2418/L1C2, C<1>;
L_inst_exec._s2423/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2423/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2423/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2423/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2423/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2423/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2423/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2423/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2423/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2423/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2423/L1C0 .functor AND, L_inst_exec._s2423/L0C0, L_inst_exec._s2423/L0C1, L_inst_exec._s2423/L0C2, L_inst_exec._s2423/L0C3;
L_inst_exec._s2423/L1C1 .functor AND, L_inst_exec._s2423/L0C4, L_inst_exec._s2423/L0C5, L_inst_exec._s2423/L0C6, L_inst_exec._s2423/L0C7;
L_inst_exec._s2423/L1C2 .functor AND, L_inst_exec._s2423/L0C8, L_inst_exec._s2423/L0C9, C<1>, C<1>;
L_inst_exec._s2423 .functor AND, L_inst_exec._s2423/L1C0, L_inst_exec._s2423/L1C1, L_inst_exec._s2423/L1C2, C<1>;
L_inst_exec._s2428/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2428/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2428/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2428/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2428/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2428/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2428/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2428/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2428/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2428/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2428/L1C0 .functor AND, L_inst_exec._s2428/L0C0, L_inst_exec._s2428/L0C1, L_inst_exec._s2428/L0C2, L_inst_exec._s2428/L0C3;
L_inst_exec._s2428/L1C1 .functor AND, L_inst_exec._s2428/L0C4, L_inst_exec._s2428/L0C5, L_inst_exec._s2428/L0C6, L_inst_exec._s2428/L0C7;
L_inst_exec._s2428/L1C2 .functor AND, L_inst_exec._s2428/L0C8, L_inst_exec._s2428/L0C9, C<1>, C<1>;
L_inst_exec._s2428 .functor AND, L_inst_exec._s2428/L1C0, L_inst_exec._s2428/L1C1, L_inst_exec._s2428/L1C2, C<1>;
L_inst_exec._s2433/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2433/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2433/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2433/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2433/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2433/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2433/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2433/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2433/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2433/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2433/L1C0 .functor AND, L_inst_exec._s2433/L0C0, L_inst_exec._s2433/L0C1, L_inst_exec._s2433/L0C2, L_inst_exec._s2433/L0C3;
L_inst_exec._s2433/L1C1 .functor AND, L_inst_exec._s2433/L0C4, L_inst_exec._s2433/L0C5, L_inst_exec._s2433/L0C6, L_inst_exec._s2433/L0C7;
L_inst_exec._s2433/L1C2 .functor AND, L_inst_exec._s2433/L0C8, L_inst_exec._s2433/L0C9, C<1>, C<1>;
L_inst_exec._s2433 .functor AND, L_inst_exec._s2433/L1C0, L_inst_exec._s2433/L1C1, L_inst_exec._s2433/L1C2, C<1>;
L_inst_exec._s2436/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2436/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2436/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2436/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2436/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2436/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2436/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2436/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2436/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2436/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2436/L1C0 .functor AND, L_inst_exec._s2436/L0C0, L_inst_exec._s2436/L0C1, L_inst_exec._s2436/L0C2, L_inst_exec._s2436/L0C3;
L_inst_exec._s2436/L1C1 .functor AND, L_inst_exec._s2436/L0C4, L_inst_exec._s2436/L0C5, L_inst_exec._s2436/L0C6, L_inst_exec._s2436/L0C7;
L_inst_exec._s2436/L1C2 .functor AND, L_inst_exec._s2436/L0C8, L_inst_exec._s2436/L0C9, C<1>, C<1>;
L_inst_exec._s2436 .functor AND, L_inst_exec._s2436/L1C0, L_inst_exec._s2436/L1C1, L_inst_exec._s2436/L1C2, C<1>;
L_inst_exec._s2441/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2441/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2441/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2441/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2441/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2441/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2441/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2441/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2441/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2441/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2441/L1C0 .functor AND, L_inst_exec._s2441/L0C0, L_inst_exec._s2441/L0C1, L_inst_exec._s2441/L0C2, L_inst_exec._s2441/L0C3;
L_inst_exec._s2441/L1C1 .functor AND, L_inst_exec._s2441/L0C4, L_inst_exec._s2441/L0C5, L_inst_exec._s2441/L0C6, L_inst_exec._s2441/L0C7;
L_inst_exec._s2441/L1C2 .functor AND, L_inst_exec._s2441/L0C8, L_inst_exec._s2441/L0C9, C<1>, C<1>;
L_inst_exec._s2441 .functor AND, L_inst_exec._s2441/L1C0, L_inst_exec._s2441/L1C1, L_inst_exec._s2441/L1C2, C<1>;
L_inst_exec._s2446/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2446/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2446/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2446/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2446/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2446/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2446/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2446/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2446/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2446/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2446/L1C0 .functor AND, L_inst_exec._s2446/L0C0, L_inst_exec._s2446/L0C1, L_inst_exec._s2446/L0C2, L_inst_exec._s2446/L0C3;
L_inst_exec._s2446/L1C1 .functor AND, L_inst_exec._s2446/L0C4, L_inst_exec._s2446/L0C5, L_inst_exec._s2446/L0C6, L_inst_exec._s2446/L0C7;
L_inst_exec._s2446/L1C2 .functor AND, L_inst_exec._s2446/L0C8, L_inst_exec._s2446/L0C9, C<1>, C<1>;
L_inst_exec._s2446 .functor AND, L_inst_exec._s2446/L1C0, L_inst_exec._s2446/L1C1, L_inst_exec._s2446/L1C2, C<1>;
L_inst_exec._s2451/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2451/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2451/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2451/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2451/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2451/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2451/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2451/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2451/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2451/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2451/L1C0 .functor AND, L_inst_exec._s2451/L0C0, L_inst_exec._s2451/L0C1, L_inst_exec._s2451/L0C2, L_inst_exec._s2451/L0C3;
L_inst_exec._s2451/L1C1 .functor AND, L_inst_exec._s2451/L0C4, L_inst_exec._s2451/L0C5, L_inst_exec._s2451/L0C6, L_inst_exec._s2451/L0C7;
L_inst_exec._s2451/L1C2 .functor AND, L_inst_exec._s2451/L0C8, L_inst_exec._s2451/L0C9, C<1>, C<1>;
L_inst_exec._s2451 .functor AND, L_inst_exec._s2451/L1C0, L_inst_exec._s2451/L1C1, L_inst_exec._s2451/L1C2, C<1>;
L_inst_exec._s2464/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2464/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2464/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2464 .functor AND, L_inst_exec._s2464/L0C0, L_inst_exec._s2464/L0C1, L_inst_exec._s2464/L0C2, C<1>;
L_inst_exec._s2477/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2477/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2477/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2477/L0C3 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2477/L0C4 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2477/L0C5 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2477/L0C6 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2477/L0C7 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2477/L0C8 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2477/L0C9 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2477/L1C0 .functor AND, L_inst_exec._s2477/L0C0, L_inst_exec._s2477/L0C1, L_inst_exec._s2477/L0C2, L_inst_exec._s2477/L0C3;
L_inst_exec._s2477/L1C1 .functor AND, L_inst_exec._s2477/L0C4, L_inst_exec._s2477/L0C5, L_inst_exec._s2477/L0C6, L_inst_exec._s2477/L0C7;
L_inst_exec._s2477/L1C2 .functor AND, L_inst_exec._s2477/L0C8, L_inst_exec._s2477/L0C9, C<1>, C<1>;
L_inst_exec._s2477 .functor AND, L_inst_exec._s2477/L1C0, L_inst_exec._s2477/L1C1, L_inst_exec._s2477/L1C2, C<1>;
L_inst_exec._s2482/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2482/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2482/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2482/L0C3 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2482/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2482/L0C5 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2482/L0C6 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2482/L0C7 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2482/L0C8 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2482/L0C9 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2482/L1C0 .functor AND, L_inst_exec._s2482/L0C0, L_inst_exec._s2482/L0C1, L_inst_exec._s2482/L0C2, L_inst_exec._s2482/L0C3;
L_inst_exec._s2482/L1C1 .functor AND, L_inst_exec._s2482/L0C4, L_inst_exec._s2482/L0C5, L_inst_exec._s2482/L0C6, L_inst_exec._s2482/L0C7;
L_inst_exec._s2482/L1C2 .functor AND, L_inst_exec._s2482/L0C8, L_inst_exec._s2482/L0C9, C<1>, C<1>;
L_inst_exec._s2482 .functor AND, L_inst_exec._s2482/L1C0, L_inst_exec._s2482/L1C1, L_inst_exec._s2482/L1C2, C<1>;
L_inst_exec._s2487/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2487/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2487/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2487/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2487/L0C4 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2487/L0C5 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2487/L0C6 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2487/L0C7 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2487/L0C8 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2487/L0C9 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2487/L1C0 .functor AND, L_inst_exec._s2487/L0C0, L_inst_exec._s2487/L0C1, L_inst_exec._s2487/L0C2, L_inst_exec._s2487/L0C3;
L_inst_exec._s2487/L1C1 .functor AND, L_inst_exec._s2487/L0C4, L_inst_exec._s2487/L0C5, L_inst_exec._s2487/L0C6, L_inst_exec._s2487/L0C7;
L_inst_exec._s2487/L1C2 .functor AND, L_inst_exec._s2487/L0C8, L_inst_exec._s2487/L0C9, C<1>, C<1>;
L_inst_exec._s2487 .functor AND, L_inst_exec._s2487/L1C0, L_inst_exec._s2487/L1C1, L_inst_exec._s2487/L1C2, C<1>;
L_inst_exec._s2492/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2492/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2492/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2492/L0C3 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2492/L0C4 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2492/L0C5 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2492/L0C6 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2492/L0C7 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2492/L0C8 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2492/L0C9 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2492/L1C0 .functor AND, L_inst_exec._s2492/L0C0, L_inst_exec._s2492/L0C1, L_inst_exec._s2492/L0C2, L_inst_exec._s2492/L0C3;
L_inst_exec._s2492/L1C1 .functor AND, L_inst_exec._s2492/L0C4, L_inst_exec._s2492/L0C5, L_inst_exec._s2492/L0C6, L_inst_exec._s2492/L0C7;
L_inst_exec._s2492/L1C2 .functor AND, L_inst_exec._s2492/L0C8, L_inst_exec._s2492/L0C9, C<1>, C<1>;
L_inst_exec._s2492 .functor AND, L_inst_exec._s2492/L1C0, L_inst_exec._s2492/L1C1, L_inst_exec._s2492/L1C2, C<1>;
L_inst_exec._s2497/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2497/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2497/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2497/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2497/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2497/L0C5 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2497/L0C6 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2497/L0C7 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2497/L0C8 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2497/L0C9 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2497/L1C0 .functor AND, L_inst_exec._s2497/L0C0, L_inst_exec._s2497/L0C1, L_inst_exec._s2497/L0C2, L_inst_exec._s2497/L0C3;
L_inst_exec._s2497/L1C1 .functor AND, L_inst_exec._s2497/L0C4, L_inst_exec._s2497/L0C5, L_inst_exec._s2497/L0C6, L_inst_exec._s2497/L0C7;
L_inst_exec._s2497/L1C2 .functor AND, L_inst_exec._s2497/L0C8, L_inst_exec._s2497/L0C9, C<1>, C<1>;
L_inst_exec._s2497 .functor AND, L_inst_exec._s2497/L1C0, L_inst_exec._s2497/L1C1, L_inst_exec._s2497/L1C2, C<1>;
L_inst_exec._s2502/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2502/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2502/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2502 .functor AND, L_inst_exec._s2502/L0C0, L_inst_exec._s2502/L0C1, L_inst_exec._s2502/L0C2, C<1>;
L_inst_exec._s2509/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2509/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2509/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2509 .functor AND, L_inst_exec._s2509/L0C0, L_inst_exec._s2509/L0C1, L_inst_exec._s2509/L0C2, C<1>;
L_inst_exec._s2529/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2529/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2529/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2529/L0C3 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2529/L0C4 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2529/L0C5 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2529/L0C6 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2529/L1C0 .functor AND, L_inst_exec._s2529/L0C0, L_inst_exec._s2529/L0C1, L_inst_exec._s2529/L0C2, L_inst_exec._s2529/L0C3;
L_inst_exec._s2529/L1C1 .functor AND, L_inst_exec._s2529/L0C4, L_inst_exec._s2529/L0C5, L_inst_exec._s2529/L0C6, C<1>;
L_inst_exec._s2529 .functor AND, L_inst_exec._s2529/L1C0, L_inst_exec._s2529/L1C1, C<1>, C<1>;
L_inst_exec._s2534/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2534/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2534/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2534/L0C3 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2534/L0C4 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2534/L0C5 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2534/L0C6 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2534/L0C7 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2534/L0C8 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2534/L0C9 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2534/L1C0 .functor AND, L_inst_exec._s2534/L0C0, L_inst_exec._s2534/L0C1, L_inst_exec._s2534/L0C2, L_inst_exec._s2534/L0C3;
L_inst_exec._s2534/L1C1 .functor AND, L_inst_exec._s2534/L0C4, L_inst_exec._s2534/L0C5, L_inst_exec._s2534/L0C6, L_inst_exec._s2534/L0C7;
L_inst_exec._s2534/L1C2 .functor AND, L_inst_exec._s2534/L0C8, L_inst_exec._s2534/L0C9, C<1>, C<1>;
L_inst_exec._s2534 .functor AND, L_inst_exec._s2534/L1C0, L_inst_exec._s2534/L1C1, L_inst_exec._s2534/L1C2, C<1>;
L_inst_exec._s2539/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2539/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2539/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2539/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2539/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2539/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2539/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2539/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2539/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2539/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2539/L1C0 .functor AND, L_inst_exec._s2539/L0C0, L_inst_exec._s2539/L0C1, L_inst_exec._s2539/L0C2, L_inst_exec._s2539/L0C3;
L_inst_exec._s2539/L1C1 .functor AND, L_inst_exec._s2539/L0C4, L_inst_exec._s2539/L0C5, L_inst_exec._s2539/L0C6, L_inst_exec._s2539/L0C7;
L_inst_exec._s2539/L1C2 .functor AND, L_inst_exec._s2539/L0C8, L_inst_exec._s2539/L0C9, C<1>, C<1>;
L_inst_exec._s2539 .functor AND, L_inst_exec._s2539/L1C0, L_inst_exec._s2539/L1C1, L_inst_exec._s2539/L1C2, C<1>;
L_inst_exec._s2542/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2542/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2542/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2542/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2542/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2542/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2542/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2542/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2542/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2542/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2542/L1C0 .functor AND, L_inst_exec._s2542/L0C0, L_inst_exec._s2542/L0C1, L_inst_exec._s2542/L0C2, L_inst_exec._s2542/L0C3;
L_inst_exec._s2542/L1C1 .functor AND, L_inst_exec._s2542/L0C4, L_inst_exec._s2542/L0C5, L_inst_exec._s2542/L0C6, L_inst_exec._s2542/L0C7;
L_inst_exec._s2542/L1C2 .functor AND, L_inst_exec._s2542/L0C8, L_inst_exec._s2542/L0C9, C<1>, C<1>;
L_inst_exec._s2542 .functor AND, L_inst_exec._s2542/L1C0, L_inst_exec._s2542/L1C1, L_inst_exec._s2542/L1C2, C<1>;
L_inst_exec._s2547/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2547/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2547/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2547/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2547/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2547/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2547/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2547/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2547/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2547/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2547/L1C0 .functor AND, L_inst_exec._s2547/L0C0, L_inst_exec._s2547/L0C1, L_inst_exec._s2547/L0C2, L_inst_exec._s2547/L0C3;
L_inst_exec._s2547/L1C1 .functor AND, L_inst_exec._s2547/L0C4, L_inst_exec._s2547/L0C5, L_inst_exec._s2547/L0C6, L_inst_exec._s2547/L0C7;
L_inst_exec._s2547/L1C2 .functor AND, L_inst_exec._s2547/L0C8, L_inst_exec._s2547/L0C9, C<1>, C<1>;
L_inst_exec._s2547 .functor AND, L_inst_exec._s2547/L1C0, L_inst_exec._s2547/L1C1, L_inst_exec._s2547/L1C2, C<1>;
L_inst_exec._s2550/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2550/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2550/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2550/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2550/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2550/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2550/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2550/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2550/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2550/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2550/L1C0 .functor AND, L_inst_exec._s2550/L0C0, L_inst_exec._s2550/L0C1, L_inst_exec._s2550/L0C2, L_inst_exec._s2550/L0C3;
L_inst_exec._s2550/L1C1 .functor AND, L_inst_exec._s2550/L0C4, L_inst_exec._s2550/L0C5, L_inst_exec._s2550/L0C6, L_inst_exec._s2550/L0C7;
L_inst_exec._s2550/L1C2 .functor AND, L_inst_exec._s2550/L0C8, L_inst_exec._s2550/L0C9, C<1>, C<1>;
L_inst_exec._s2550 .functor AND, L_inst_exec._s2550/L1C0, L_inst_exec._s2550/L1C1, L_inst_exec._s2550/L1C2, C<1>;
L_inst_exec._s2555/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2555/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2555/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2555/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2555/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2555/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2555/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2555/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2555/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2555/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2555/L1C0 .functor AND, L_inst_exec._s2555/L0C0, L_inst_exec._s2555/L0C1, L_inst_exec._s2555/L0C2, L_inst_exec._s2555/L0C3;
L_inst_exec._s2555/L1C1 .functor AND, L_inst_exec._s2555/L0C4, L_inst_exec._s2555/L0C5, L_inst_exec._s2555/L0C6, L_inst_exec._s2555/L0C7;
L_inst_exec._s2555/L1C2 .functor AND, L_inst_exec._s2555/L0C8, L_inst_exec._s2555/L0C9, C<1>, C<1>;
L_inst_exec._s2555 .functor AND, L_inst_exec._s2555/L1C0, L_inst_exec._s2555/L1C1, L_inst_exec._s2555/L1C2, C<1>;
L_inst_exec._s2560/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2560/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2560/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2560/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2560/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2560/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2560/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2560/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2560/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2560/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2560/L1C0 .functor AND, L_inst_exec._s2560/L0C0, L_inst_exec._s2560/L0C1, L_inst_exec._s2560/L0C2, L_inst_exec._s2560/L0C3;
L_inst_exec._s2560/L1C1 .functor AND, L_inst_exec._s2560/L0C4, L_inst_exec._s2560/L0C5, L_inst_exec._s2560/L0C6, L_inst_exec._s2560/L0C7;
L_inst_exec._s2560/L1C2 .functor AND, L_inst_exec._s2560/L0C8, L_inst_exec._s2560/L0C9, C<1>, C<1>;
L_inst_exec._s2560 .functor AND, L_inst_exec._s2560/L1C0, L_inst_exec._s2560/L1C1, L_inst_exec._s2560/L1C2, C<1>;
L_inst_exec._s2565/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2565/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2565/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2565/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2565/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2565/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2565/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2565/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2565/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2565/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2565/L1C0 .functor AND, L_inst_exec._s2565/L0C0, L_inst_exec._s2565/L0C1, L_inst_exec._s2565/L0C2, L_inst_exec._s2565/L0C3;
L_inst_exec._s2565/L1C1 .functor AND, L_inst_exec._s2565/L0C4, L_inst_exec._s2565/L0C5, L_inst_exec._s2565/L0C6, L_inst_exec._s2565/L0C7;
L_inst_exec._s2565/L1C2 .functor AND, L_inst_exec._s2565/L0C8, L_inst_exec._s2565/L0C9, C<1>, C<1>;
L_inst_exec._s2565 .functor AND, L_inst_exec._s2565/L1C0, L_inst_exec._s2565/L1C1, L_inst_exec._s2565/L1C2, C<1>;
L_inst_exec._s2570/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2570/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2570/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2570/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2570/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2570/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2570/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2570/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2570/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2570/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2570/L1C0 .functor AND, L_inst_exec._s2570/L0C0, L_inst_exec._s2570/L0C1, L_inst_exec._s2570/L0C2, L_inst_exec._s2570/L0C3;
L_inst_exec._s2570/L1C1 .functor AND, L_inst_exec._s2570/L0C4, L_inst_exec._s2570/L0C5, L_inst_exec._s2570/L0C6, L_inst_exec._s2570/L0C7;
L_inst_exec._s2570/L1C2 .functor AND, L_inst_exec._s2570/L0C8, L_inst_exec._s2570/L0C9, C<1>, C<1>;
L_inst_exec._s2570 .functor AND, L_inst_exec._s2570/L1C0, L_inst_exec._s2570/L1C1, L_inst_exec._s2570/L1C2, C<1>;
L_inst_exec._s2575/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2575/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2575/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2575/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2575/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2575/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2575/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2575/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2575/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2575/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2575/L1C0 .functor AND, L_inst_exec._s2575/L0C0, L_inst_exec._s2575/L0C1, L_inst_exec._s2575/L0C2, L_inst_exec._s2575/L0C3;
L_inst_exec._s2575/L1C1 .functor AND, L_inst_exec._s2575/L0C4, L_inst_exec._s2575/L0C5, L_inst_exec._s2575/L0C6, L_inst_exec._s2575/L0C7;
L_inst_exec._s2575/L1C2 .functor AND, L_inst_exec._s2575/L0C8, L_inst_exec._s2575/L0C9, C<1>, C<1>;
L_inst_exec._s2575 .functor AND, L_inst_exec._s2575/L1C0, L_inst_exec._s2575/L1C1, L_inst_exec._s2575/L1C2, C<1>;
L_inst_exec._s2580/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2580/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2580/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2580/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2580/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2580/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2580/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2580/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2580/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2580/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2580/L1C0 .functor AND, L_inst_exec._s2580/L0C0, L_inst_exec._s2580/L0C1, L_inst_exec._s2580/L0C2, L_inst_exec._s2580/L0C3;
L_inst_exec._s2580/L1C1 .functor AND, L_inst_exec._s2580/L0C4, L_inst_exec._s2580/L0C5, L_inst_exec._s2580/L0C6, L_inst_exec._s2580/L0C7;
L_inst_exec._s2580/L1C2 .functor AND, L_inst_exec._s2580/L0C8, L_inst_exec._s2580/L0C9, C<1>, C<1>;
L_inst_exec._s2580 .functor AND, L_inst_exec._s2580/L1C0, L_inst_exec._s2580/L1C1, L_inst_exec._s2580/L1C2, C<1>;
L_inst_exec._s2585/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2585/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2585/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2585/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2585/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2585/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2585/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2585/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2585/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2585/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2585/L1C0 .functor AND, L_inst_exec._s2585/L0C0, L_inst_exec._s2585/L0C1, L_inst_exec._s2585/L0C2, L_inst_exec._s2585/L0C3;
L_inst_exec._s2585/L1C1 .functor AND, L_inst_exec._s2585/L0C4, L_inst_exec._s2585/L0C5, L_inst_exec._s2585/L0C6, L_inst_exec._s2585/L0C7;
L_inst_exec._s2585/L1C2 .functor AND, L_inst_exec._s2585/L0C8, L_inst_exec._s2585/L0C9, C<1>, C<1>;
L_inst_exec._s2585 .functor AND, L_inst_exec._s2585/L1C0, L_inst_exec._s2585/L1C1, L_inst_exec._s2585/L1C2, C<1>;
L_inst_exec._s2588/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2588/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2588/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2588/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2588/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2588/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2588/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2588/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2588/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2588/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2588/L1C0 .functor AND, L_inst_exec._s2588/L0C0, L_inst_exec._s2588/L0C1, L_inst_exec._s2588/L0C2, L_inst_exec._s2588/L0C3;
L_inst_exec._s2588/L1C1 .functor AND, L_inst_exec._s2588/L0C4, L_inst_exec._s2588/L0C5, L_inst_exec._s2588/L0C6, L_inst_exec._s2588/L0C7;
L_inst_exec._s2588/L1C2 .functor AND, L_inst_exec._s2588/L0C8, L_inst_exec._s2588/L0C9, C<1>, C<1>;
L_inst_exec._s2588 .functor AND, L_inst_exec._s2588/L1C0, L_inst_exec._s2588/L1C1, L_inst_exec._s2588/L1C2, C<1>;
L_inst_exec._s2597/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2597/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2597/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2597/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2597/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2597/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2597/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2597/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2597/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2597/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2597/L1C0 .functor AND, L_inst_exec._s2597/L0C0, L_inst_exec._s2597/L0C1, L_inst_exec._s2597/L0C2, L_inst_exec._s2597/L0C3;
L_inst_exec._s2597/L1C1 .functor AND, L_inst_exec._s2597/L0C4, L_inst_exec._s2597/L0C5, L_inst_exec._s2597/L0C6, L_inst_exec._s2597/L0C7;
L_inst_exec._s2597/L1C2 .functor AND, L_inst_exec._s2597/L0C8, L_inst_exec._s2597/L0C9, C<1>, C<1>;
L_inst_exec._s2597 .functor AND, L_inst_exec._s2597/L1C0, L_inst_exec._s2597/L1C1, L_inst_exec._s2597/L1C2, C<1>;
L_inst_exec._s2602/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2602/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2602/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2602/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2602/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2602/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2602/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2602/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2602/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2602/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2602/L1C0 .functor AND, L_inst_exec._s2602/L0C0, L_inst_exec._s2602/L0C1, L_inst_exec._s2602/L0C2, L_inst_exec._s2602/L0C3;
L_inst_exec._s2602/L1C1 .functor AND, L_inst_exec._s2602/L0C4, L_inst_exec._s2602/L0C5, L_inst_exec._s2602/L0C6, L_inst_exec._s2602/L0C7;
L_inst_exec._s2602/L1C2 .functor AND, L_inst_exec._s2602/L0C8, L_inst_exec._s2602/L0C9, C<1>, C<1>;
L_inst_exec._s2602 .functor AND, L_inst_exec._s2602/L1C0, L_inst_exec._s2602/L1C1, L_inst_exec._s2602/L1C2, C<1>;
L_inst_exec._s2606/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2606/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2606/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2606/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2606/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2606/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2606/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2606/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2606/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2606/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2606/L1C0 .functor AND, L_inst_exec._s2606/L0C0, L_inst_exec._s2606/L0C1, L_inst_exec._s2606/L0C2, L_inst_exec._s2606/L0C3;
L_inst_exec._s2606/L1C1 .functor AND, L_inst_exec._s2606/L0C4, L_inst_exec._s2606/L0C5, L_inst_exec._s2606/L0C6, L_inst_exec._s2606/L0C7;
L_inst_exec._s2606/L1C2 .functor AND, L_inst_exec._s2606/L0C8, L_inst_exec._s2606/L0C9, C<1>, C<1>;
L_inst_exec._s2606 .functor AND, L_inst_exec._s2606/L1C0, L_inst_exec._s2606/L1C1, L_inst_exec._s2606/L1C2, C<1>;
L_inst_exec._s2611/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2611/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2611/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2611/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2611/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2611/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2611/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2611/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2611/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2611/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2611/L1C0 .functor AND, L_inst_exec._s2611/L0C0, L_inst_exec._s2611/L0C1, L_inst_exec._s2611/L0C2, L_inst_exec._s2611/L0C3;
L_inst_exec._s2611/L1C1 .functor AND, L_inst_exec._s2611/L0C4, L_inst_exec._s2611/L0C5, L_inst_exec._s2611/L0C6, L_inst_exec._s2611/L0C7;
L_inst_exec._s2611/L1C2 .functor AND, L_inst_exec._s2611/L0C8, L_inst_exec._s2611/L0C9, C<1>, C<1>;
L_inst_exec._s2611 .functor AND, L_inst_exec._s2611/L1C0, L_inst_exec._s2611/L1C1, L_inst_exec._s2611/L1C2, C<1>;
L_inst_exec._s2616/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2616/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2616/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2616 .functor AND, L_inst_exec._s2616/L0C0, L_inst_exec._s2616/L0C1, L_inst_exec._s2616/L0C2, C<1>;
L_inst_exec._s2623/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2623/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2623/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2623 .functor AND, L_inst_exec._s2623/L0C0, L_inst_exec._s2623/L0C1, L_inst_exec._s2623/L0C2, C<1>;
L_inst_exec._s2630/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2630/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2630/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2630/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2630/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2630/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2630/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2630/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2630/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2630/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2630/L1C0 .functor AND, L_inst_exec._s2630/L0C0, L_inst_exec._s2630/L0C1, L_inst_exec._s2630/L0C2, L_inst_exec._s2630/L0C3;
L_inst_exec._s2630/L1C1 .functor AND, L_inst_exec._s2630/L0C4, L_inst_exec._s2630/L0C5, L_inst_exec._s2630/L0C6, L_inst_exec._s2630/L0C7;
L_inst_exec._s2630/L1C2 .functor AND, L_inst_exec._s2630/L0C8, L_inst_exec._s2630/L0C9, C<1>, C<1>;
L_inst_exec._s2630 .functor AND, L_inst_exec._s2630/L1C0, L_inst_exec._s2630/L1C1, L_inst_exec._s2630/L1C2, C<1>;
L_inst_exec._s2642/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2642/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2642/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2642 .functor AND, L_inst_exec._s2642/L0C0, L_inst_exec._s2642/L0C1, L_inst_exec._s2642/L0C2, C<1>;
L_inst_exec._s2648/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2648/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2648/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2648/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2648/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2648/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2648/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2648/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2648/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2648/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2648/L1C0 .functor AND, L_inst_exec._s2648/L0C0, L_inst_exec._s2648/L0C1, L_inst_exec._s2648/L0C2, L_inst_exec._s2648/L0C3;
L_inst_exec._s2648/L1C1 .functor AND, L_inst_exec._s2648/L0C4, L_inst_exec._s2648/L0C5, L_inst_exec._s2648/L0C6, L_inst_exec._s2648/L0C7;
L_inst_exec._s2648/L1C2 .functor AND, L_inst_exec._s2648/L0C8, L_inst_exec._s2648/L0C9, C<1>, C<1>;
L_inst_exec._s2648 .functor AND, L_inst_exec._s2648/L1C0, L_inst_exec._s2648/L1C1, L_inst_exec._s2648/L1C2, C<1>;
L_inst_exec._s2650/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2650/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2650/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2650/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2650/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2650/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2650/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2650/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2650/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2650/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2650/L1C0 .functor AND, L_inst_exec._s2650/L0C0, L_inst_exec._s2650/L0C1, L_inst_exec._s2650/L0C2, L_inst_exec._s2650/L0C3;
L_inst_exec._s2650/L1C1 .functor AND, L_inst_exec._s2650/L0C4, L_inst_exec._s2650/L0C5, L_inst_exec._s2650/L0C6, L_inst_exec._s2650/L0C7;
L_inst_exec._s2650/L1C2 .functor AND, L_inst_exec._s2650/L0C8, L_inst_exec._s2650/L0C9, C<1>, C<1>;
L_inst_exec._s2650 .functor AND, L_inst_exec._s2650/L1C0, L_inst_exec._s2650/L1C1, L_inst_exec._s2650/L1C2, C<1>;
L_inst_exec._s2655/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2655/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2655/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2655/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2655/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2655/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2655/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2655/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2655/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2655/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2655/L1C0 .functor AND, L_inst_exec._s2655/L0C0, L_inst_exec._s2655/L0C1, L_inst_exec._s2655/L0C2, L_inst_exec._s2655/L0C3;
L_inst_exec._s2655/L1C1 .functor AND, L_inst_exec._s2655/L0C4, L_inst_exec._s2655/L0C5, L_inst_exec._s2655/L0C6, L_inst_exec._s2655/L0C7;
L_inst_exec._s2655/L1C2 .functor AND, L_inst_exec._s2655/L0C8, L_inst_exec._s2655/L0C9, C<1>, C<1>;
L_inst_exec._s2655 .functor AND, L_inst_exec._s2655/L1C0, L_inst_exec._s2655/L1C1, L_inst_exec._s2655/L1C2, C<1>;
L_inst_exec._s2658/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2658/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2658/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2658/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2658/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2658/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2658/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2658/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2658/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2658/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2658/L1C0 .functor AND, L_inst_exec._s2658/L0C0, L_inst_exec._s2658/L0C1, L_inst_exec._s2658/L0C2, L_inst_exec._s2658/L0C3;
L_inst_exec._s2658/L1C1 .functor AND, L_inst_exec._s2658/L0C4, L_inst_exec._s2658/L0C5, L_inst_exec._s2658/L0C6, L_inst_exec._s2658/L0C7;
L_inst_exec._s2658/L1C2 .functor AND, L_inst_exec._s2658/L0C8, L_inst_exec._s2658/L0C9, C<1>, C<1>;
L_inst_exec._s2658 .functor AND, L_inst_exec._s2658/L1C0, L_inst_exec._s2658/L1C1, L_inst_exec._s2658/L1C2, C<1>;
L_inst_exec._s2663/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2663/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2663/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2663/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2663/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2663/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2663/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2663/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2663/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2663/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2663/L1C0 .functor AND, L_inst_exec._s2663/L0C0, L_inst_exec._s2663/L0C1, L_inst_exec._s2663/L0C2, L_inst_exec._s2663/L0C3;
L_inst_exec._s2663/L1C1 .functor AND, L_inst_exec._s2663/L0C4, L_inst_exec._s2663/L0C5, L_inst_exec._s2663/L0C6, L_inst_exec._s2663/L0C7;
L_inst_exec._s2663/L1C2 .functor AND, L_inst_exec._s2663/L0C8, L_inst_exec._s2663/L0C9, C<1>, C<1>;
L_inst_exec._s2663 .functor AND, L_inst_exec._s2663/L1C0, L_inst_exec._s2663/L1C1, L_inst_exec._s2663/L1C2, C<1>;
L_inst_exec._s2666/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2666/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2666/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2666/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2666/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2666/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2666/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2666/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2666/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2666/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2666/L1C0 .functor AND, L_inst_exec._s2666/L0C0, L_inst_exec._s2666/L0C1, L_inst_exec._s2666/L0C2, L_inst_exec._s2666/L0C3;
L_inst_exec._s2666/L1C1 .functor AND, L_inst_exec._s2666/L0C4, L_inst_exec._s2666/L0C5, L_inst_exec._s2666/L0C6, L_inst_exec._s2666/L0C7;
L_inst_exec._s2666/L1C2 .functor AND, L_inst_exec._s2666/L0C8, L_inst_exec._s2666/L0C9, C<1>, C<1>;
L_inst_exec._s2666 .functor AND, L_inst_exec._s2666/L1C0, L_inst_exec._s2666/L1C1, L_inst_exec._s2666/L1C2, C<1>;
L_inst_exec._s2671/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2671/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2671/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2671/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2671/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2671/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2671/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2671/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2671/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2671/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2671/L1C0 .functor AND, L_inst_exec._s2671/L0C0, L_inst_exec._s2671/L0C1, L_inst_exec._s2671/L0C2, L_inst_exec._s2671/L0C3;
L_inst_exec._s2671/L1C1 .functor AND, L_inst_exec._s2671/L0C4, L_inst_exec._s2671/L0C5, L_inst_exec._s2671/L0C6, L_inst_exec._s2671/L0C7;
L_inst_exec._s2671/L1C2 .functor AND, L_inst_exec._s2671/L0C8, L_inst_exec._s2671/L0C9, C<1>, C<1>;
L_inst_exec._s2671 .functor AND, L_inst_exec._s2671/L1C0, L_inst_exec._s2671/L1C1, L_inst_exec._s2671/L1C2, C<1>;
L_inst_exec._s2676/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2676/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2676/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2676/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2676/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2676/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2676/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2676/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2676/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2676/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2676/L1C0 .functor AND, L_inst_exec._s2676/L0C0, L_inst_exec._s2676/L0C1, L_inst_exec._s2676/L0C2, L_inst_exec._s2676/L0C3;
L_inst_exec._s2676/L1C1 .functor AND, L_inst_exec._s2676/L0C4, L_inst_exec._s2676/L0C5, L_inst_exec._s2676/L0C6, L_inst_exec._s2676/L0C7;
L_inst_exec._s2676/L1C2 .functor AND, L_inst_exec._s2676/L0C8, L_inst_exec._s2676/L0C9, C<1>, C<1>;
L_inst_exec._s2676 .functor AND, L_inst_exec._s2676/L1C0, L_inst_exec._s2676/L1C1, L_inst_exec._s2676/L1C2, C<1>;
L_inst_exec._s2681/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2681/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2681/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2681/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2681/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2681/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2681/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2681/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2681/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2681/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2681/L1C0 .functor AND, L_inst_exec._s2681/L0C0, L_inst_exec._s2681/L0C1, L_inst_exec._s2681/L0C2, L_inst_exec._s2681/L0C3;
L_inst_exec._s2681/L1C1 .functor AND, L_inst_exec._s2681/L0C4, L_inst_exec._s2681/L0C5, L_inst_exec._s2681/L0C6, L_inst_exec._s2681/L0C7;
L_inst_exec._s2681/L1C2 .functor AND, L_inst_exec._s2681/L0C8, L_inst_exec._s2681/L0C9, C<1>, C<1>;
L_inst_exec._s2681 .functor AND, L_inst_exec._s2681/L1C0, L_inst_exec._s2681/L1C1, L_inst_exec._s2681/L1C2, C<1>;
L_inst_exec._s2686/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2686/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2686/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2686/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2686/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2686/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2686/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2686/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2686/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2686/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2686/L1C0 .functor AND, L_inst_exec._s2686/L0C0, L_inst_exec._s2686/L0C1, L_inst_exec._s2686/L0C2, L_inst_exec._s2686/L0C3;
L_inst_exec._s2686/L1C1 .functor AND, L_inst_exec._s2686/L0C4, L_inst_exec._s2686/L0C5, L_inst_exec._s2686/L0C6, L_inst_exec._s2686/L0C7;
L_inst_exec._s2686/L1C2 .functor AND, L_inst_exec._s2686/L0C8, L_inst_exec._s2686/L0C9, C<1>, C<1>;
L_inst_exec._s2686 .functor AND, L_inst_exec._s2686/L1C0, L_inst_exec._s2686/L1C1, L_inst_exec._s2686/L1C2, C<1>;
L_inst_exec._s2691/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2691/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2691/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2691/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2691/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2691/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2691/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2691/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2691/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2691/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2691/L1C0 .functor AND, L_inst_exec._s2691/L0C0, L_inst_exec._s2691/L0C1, L_inst_exec._s2691/L0C2, L_inst_exec._s2691/L0C3;
L_inst_exec._s2691/L1C1 .functor AND, L_inst_exec._s2691/L0C4, L_inst_exec._s2691/L0C5, L_inst_exec._s2691/L0C6, L_inst_exec._s2691/L0C7;
L_inst_exec._s2691/L1C2 .functor AND, L_inst_exec._s2691/L0C8, L_inst_exec._s2691/L0C9, C<1>, C<1>;
L_inst_exec._s2691 .functor AND, L_inst_exec._s2691/L1C0, L_inst_exec._s2691/L1C1, L_inst_exec._s2691/L1C2, C<1>;
L_inst_exec._s2696/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2696/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2696/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2696/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2696/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2696/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2696/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2696/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2696/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2696/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2696/L1C0 .functor AND, L_inst_exec._s2696/L0C0, L_inst_exec._s2696/L0C1, L_inst_exec._s2696/L0C2, L_inst_exec._s2696/L0C3;
L_inst_exec._s2696/L1C1 .functor AND, L_inst_exec._s2696/L0C4, L_inst_exec._s2696/L0C5, L_inst_exec._s2696/L0C6, L_inst_exec._s2696/L0C7;
L_inst_exec._s2696/L1C2 .functor AND, L_inst_exec._s2696/L0C8, L_inst_exec._s2696/L0C9, C<1>, C<1>;
L_inst_exec._s2696 .functor AND, L_inst_exec._s2696/L1C0, L_inst_exec._s2696/L1C1, L_inst_exec._s2696/L1C2, C<1>;
L_inst_exec._s2704/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2704/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2704/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2704/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2704/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2704/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2704/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2704/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2704/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2704/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2704/L1C0 .functor AND, L_inst_exec._s2704/L0C0, L_inst_exec._s2704/L0C1, L_inst_exec._s2704/L0C2, L_inst_exec._s2704/L0C3;
L_inst_exec._s2704/L1C1 .functor AND, L_inst_exec._s2704/L0C4, L_inst_exec._s2704/L0C5, L_inst_exec._s2704/L0C6, L_inst_exec._s2704/L0C7;
L_inst_exec._s2704/L1C2 .functor AND, L_inst_exec._s2704/L0C8, L_inst_exec._s2704/L0C9, C<1>, C<1>;
L_inst_exec._s2704 .functor AND, L_inst_exec._s2704/L1C0, L_inst_exec._s2704/L1C1, L_inst_exec._s2704/L1C2, C<1>;
L_inst_exec._s2708/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2708/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2708/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2708/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2708/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2708/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2708/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2708/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2708/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2708/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2708/L1C0 .functor AND, L_inst_exec._s2708/L0C0, L_inst_exec._s2708/L0C1, L_inst_exec._s2708/L0C2, L_inst_exec._s2708/L0C3;
L_inst_exec._s2708/L1C1 .functor AND, L_inst_exec._s2708/L0C4, L_inst_exec._s2708/L0C5, L_inst_exec._s2708/L0C6, L_inst_exec._s2708/L0C7;
L_inst_exec._s2708/L1C2 .functor AND, L_inst_exec._s2708/L0C8, L_inst_exec._s2708/L0C9, C<1>, C<1>;
L_inst_exec._s2708 .functor AND, L_inst_exec._s2708/L1C0, L_inst_exec._s2708/L1C1, L_inst_exec._s2708/L1C2, C<1>;
L_inst_exec._s2712/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2712/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2712/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2712/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2712/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2712/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2712/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2712/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2712/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2712/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2712/L1C0 .functor AND, L_inst_exec._s2712/L0C0, L_inst_exec._s2712/L0C1, L_inst_exec._s2712/L0C2, L_inst_exec._s2712/L0C3;
L_inst_exec._s2712/L1C1 .functor AND, L_inst_exec._s2712/L0C4, L_inst_exec._s2712/L0C5, L_inst_exec._s2712/L0C6, L_inst_exec._s2712/L0C7;
L_inst_exec._s2712/L1C2 .functor AND, L_inst_exec._s2712/L0C8, L_inst_exec._s2712/L0C9, C<1>, C<1>;
L_inst_exec._s2712 .functor AND, L_inst_exec._s2712/L1C0, L_inst_exec._s2712/L1C1, L_inst_exec._s2712/L1C2, C<1>;
L_inst_exec._s2717/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2717/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2717/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2717/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2717/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2717/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2717/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2717/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2717/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2717/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2717/L1C0 .functor AND, L_inst_exec._s2717/L0C0, L_inst_exec._s2717/L0C1, L_inst_exec._s2717/L0C2, L_inst_exec._s2717/L0C3;
L_inst_exec._s2717/L1C1 .functor AND, L_inst_exec._s2717/L0C4, L_inst_exec._s2717/L0C5, L_inst_exec._s2717/L0C6, L_inst_exec._s2717/L0C7;
L_inst_exec._s2717/L1C2 .functor AND, L_inst_exec._s2717/L0C8, L_inst_exec._s2717/L0C9, C<1>, C<1>;
L_inst_exec._s2717 .functor AND, L_inst_exec._s2717/L1C0, L_inst_exec._s2717/L1C1, L_inst_exec._s2717/L1C2, C<1>;
L_inst_exec._s2722/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2722/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2722/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2722 .functor AND, L_inst_exec._s2722/L0C0, L_inst_exec._s2722/L0C1, L_inst_exec._s2722/L0C2, C<1>;
L_inst_exec._s2729/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2729/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2729/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2729 .functor AND, L_inst_exec._s2729/L0C0, L_inst_exec._s2729/L0C1, L_inst_exec._s2729/L0C2, C<1>;
L_inst_exec._s2743/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2743/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2743/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2743 .functor AND, L_inst_exec._s2743/L0C0, L_inst_exec._s2743/L0C1, L_inst_exec._s2743/L0C2, C<1>;
L_inst_exec._s2774/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2774/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2774/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2774/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2774/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2774/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2774/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2774/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2774/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2774/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2774/L1C0 .functor AND, L_inst_exec._s2774/L0C0, L_inst_exec._s2774/L0C1, L_inst_exec._s2774/L0C2, L_inst_exec._s2774/L0C3;
L_inst_exec._s2774/L1C1 .functor AND, L_inst_exec._s2774/L0C4, L_inst_exec._s2774/L0C5, L_inst_exec._s2774/L0C6, L_inst_exec._s2774/L0C7;
L_inst_exec._s2774/L1C2 .functor AND, L_inst_exec._s2774/L0C8, L_inst_exec._s2774/L0C9, C<1>, C<1>;
L_inst_exec._s2774 .functor AND, L_inst_exec._s2774/L1C0, L_inst_exec._s2774/L1C1, L_inst_exec._s2774/L1C2, C<1>;
L_inst_exec._s2777/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2777/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2777/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2777/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2777/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2777/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2777/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2777/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2777/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2777/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2777/L1C0 .functor AND, L_inst_exec._s2777/L0C0, L_inst_exec._s2777/L0C1, L_inst_exec._s2777/L0C2, L_inst_exec._s2777/L0C3;
L_inst_exec._s2777/L1C1 .functor AND, L_inst_exec._s2777/L0C4, L_inst_exec._s2777/L0C5, L_inst_exec._s2777/L0C6, L_inst_exec._s2777/L0C7;
L_inst_exec._s2777/L1C2 .functor AND, L_inst_exec._s2777/L0C8, L_inst_exec._s2777/L0C9, C<1>, C<1>;
L_inst_exec._s2777 .functor AND, L_inst_exec._s2777/L1C0, L_inst_exec._s2777/L1C1, L_inst_exec._s2777/L1C2, C<1>;
L_inst_exec._s2782/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2782/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2782/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2782/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2782/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2782/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2782/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2782/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2782/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2782/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2782/L1C0 .functor AND, L_inst_exec._s2782/L0C0, L_inst_exec._s2782/L0C1, L_inst_exec._s2782/L0C2, L_inst_exec._s2782/L0C3;
L_inst_exec._s2782/L1C1 .functor AND, L_inst_exec._s2782/L0C4, L_inst_exec._s2782/L0C5, L_inst_exec._s2782/L0C6, L_inst_exec._s2782/L0C7;
L_inst_exec._s2782/L1C2 .functor AND, L_inst_exec._s2782/L0C8, L_inst_exec._s2782/L0C9, C<1>, C<1>;
L_inst_exec._s2782 .functor AND, L_inst_exec._s2782/L1C0, L_inst_exec._s2782/L1C1, L_inst_exec._s2782/L1C2, C<1>;
L_inst_exec._s2787/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2787/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2787/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2787/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2787/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2787/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2787/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2787/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2787/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2787/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2787/L1C0 .functor AND, L_inst_exec._s2787/L0C0, L_inst_exec._s2787/L0C1, L_inst_exec._s2787/L0C2, L_inst_exec._s2787/L0C3;
L_inst_exec._s2787/L1C1 .functor AND, L_inst_exec._s2787/L0C4, L_inst_exec._s2787/L0C5, L_inst_exec._s2787/L0C6, L_inst_exec._s2787/L0C7;
L_inst_exec._s2787/L1C2 .functor AND, L_inst_exec._s2787/L0C8, L_inst_exec._s2787/L0C9, C<1>, C<1>;
L_inst_exec._s2787 .functor AND, L_inst_exec._s2787/L1C0, L_inst_exec._s2787/L1C1, L_inst_exec._s2787/L1C2, C<1>;
L_inst_exec._s2792/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2792/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2792/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2792/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2792/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2792/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2792/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2792/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2792/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2792/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2792/L1C0 .functor AND, L_inst_exec._s2792/L0C0, L_inst_exec._s2792/L0C1, L_inst_exec._s2792/L0C2, L_inst_exec._s2792/L0C3;
L_inst_exec._s2792/L1C1 .functor AND, L_inst_exec._s2792/L0C4, L_inst_exec._s2792/L0C5, L_inst_exec._s2792/L0C6, L_inst_exec._s2792/L0C7;
L_inst_exec._s2792/L1C2 .functor AND, L_inst_exec._s2792/L0C8, L_inst_exec._s2792/L0C9, C<1>, C<1>;
L_inst_exec._s2792 .functor AND, L_inst_exec._s2792/L1C0, L_inst_exec._s2792/L1C1, L_inst_exec._s2792/L1C2, C<1>;
L_inst_exec._s2797/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2797/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2797/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2797/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2797/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2797/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2797/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2797/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2797/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2797/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2797/L1C0 .functor AND, L_inst_exec._s2797/L0C0, L_inst_exec._s2797/L0C1, L_inst_exec._s2797/L0C2, L_inst_exec._s2797/L0C3;
L_inst_exec._s2797/L1C1 .functor AND, L_inst_exec._s2797/L0C4, L_inst_exec._s2797/L0C5, L_inst_exec._s2797/L0C6, L_inst_exec._s2797/L0C7;
L_inst_exec._s2797/L1C2 .functor AND, L_inst_exec._s2797/L0C8, L_inst_exec._s2797/L0C9, C<1>, C<1>;
L_inst_exec._s2797 .functor AND, L_inst_exec._s2797/L1C0, L_inst_exec._s2797/L1C1, L_inst_exec._s2797/L1C2, C<1>;
L_inst_exec._s2802/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2802/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2802/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2802/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2802/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2802/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2802/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2802/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2802/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2802/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2802/L1C0 .functor AND, L_inst_exec._s2802/L0C0, L_inst_exec._s2802/L0C1, L_inst_exec._s2802/L0C2, L_inst_exec._s2802/L0C3;
L_inst_exec._s2802/L1C1 .functor AND, L_inst_exec._s2802/L0C4, L_inst_exec._s2802/L0C5, L_inst_exec._s2802/L0C6, L_inst_exec._s2802/L0C7;
L_inst_exec._s2802/L1C2 .functor AND, L_inst_exec._s2802/L0C8, L_inst_exec._s2802/L0C9, C<1>, C<1>;
L_inst_exec._s2802 .functor AND, L_inst_exec._s2802/L1C0, L_inst_exec._s2802/L1C1, L_inst_exec._s2802/L1C2, C<1>;
L_inst_exec._s2807/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2807/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2807/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2807/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2807/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2807/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2807/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2807/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2807/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2807/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2807/L1C0 .functor AND, L_inst_exec._s2807/L0C0, L_inst_exec._s2807/L0C1, L_inst_exec._s2807/L0C2, L_inst_exec._s2807/L0C3;
L_inst_exec._s2807/L1C1 .functor AND, L_inst_exec._s2807/L0C4, L_inst_exec._s2807/L0C5, L_inst_exec._s2807/L0C6, L_inst_exec._s2807/L0C7;
L_inst_exec._s2807/L1C2 .functor AND, L_inst_exec._s2807/L0C8, L_inst_exec._s2807/L0C9, C<1>, C<1>;
L_inst_exec._s2807 .functor AND, L_inst_exec._s2807/L1C0, L_inst_exec._s2807/L1C1, L_inst_exec._s2807/L1C2, C<1>;
L_inst_exec._s2816/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2816/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2816/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2816/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2816/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2816/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2816/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2816/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2816/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2816/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2816/L1C0 .functor AND, L_inst_exec._s2816/L0C0, L_inst_exec._s2816/L0C1, L_inst_exec._s2816/L0C2, L_inst_exec._s2816/L0C3;
L_inst_exec._s2816/L1C1 .functor AND, L_inst_exec._s2816/L0C4, L_inst_exec._s2816/L0C5, L_inst_exec._s2816/L0C6, L_inst_exec._s2816/L0C7;
L_inst_exec._s2816/L1C2 .functor AND, L_inst_exec._s2816/L0C8, L_inst_exec._s2816/L0C9, C<1>, C<1>;
L_inst_exec._s2816 .functor AND, L_inst_exec._s2816/L1C0, L_inst_exec._s2816/L1C1, L_inst_exec._s2816/L1C2, C<1>;
L_inst_exec._s2821/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2821/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2821/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2821/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2821/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2821/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2821/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2821/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2821/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2821/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2821/L1C0 .functor AND, L_inst_exec._s2821/L0C0, L_inst_exec._s2821/L0C1, L_inst_exec._s2821/L0C2, L_inst_exec._s2821/L0C3;
L_inst_exec._s2821/L1C1 .functor AND, L_inst_exec._s2821/L0C4, L_inst_exec._s2821/L0C5, L_inst_exec._s2821/L0C6, L_inst_exec._s2821/L0C7;
L_inst_exec._s2821/L1C2 .functor AND, L_inst_exec._s2821/L0C8, L_inst_exec._s2821/L0C9, C<1>, C<1>;
L_inst_exec._s2821 .functor AND, L_inst_exec._s2821/L1C0, L_inst_exec._s2821/L1C1, L_inst_exec._s2821/L1C2, C<1>;
L_inst_exec._s2825/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2825/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2825/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2825/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2825/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2825/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2825/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2825/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2825/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2825/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2825/L1C0 .functor AND, L_inst_exec._s2825/L0C0, L_inst_exec._s2825/L0C1, L_inst_exec._s2825/L0C2, L_inst_exec._s2825/L0C3;
L_inst_exec._s2825/L1C1 .functor AND, L_inst_exec._s2825/L0C4, L_inst_exec._s2825/L0C5, L_inst_exec._s2825/L0C6, L_inst_exec._s2825/L0C7;
L_inst_exec._s2825/L1C2 .functor AND, L_inst_exec._s2825/L0C8, L_inst_exec._s2825/L0C9, C<1>, C<1>;
L_inst_exec._s2825 .functor AND, L_inst_exec._s2825/L1C0, L_inst_exec._s2825/L1C1, L_inst_exec._s2825/L1C2, C<1>;
L_inst_exec._s2830/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2830/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2830/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2830/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2830/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2830/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2830/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2830/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2830/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2830/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2830/L1C0 .functor AND, L_inst_exec._s2830/L0C0, L_inst_exec._s2830/L0C1, L_inst_exec._s2830/L0C2, L_inst_exec._s2830/L0C3;
L_inst_exec._s2830/L1C1 .functor AND, L_inst_exec._s2830/L0C4, L_inst_exec._s2830/L0C5, L_inst_exec._s2830/L0C6, L_inst_exec._s2830/L0C7;
L_inst_exec._s2830/L1C2 .functor AND, L_inst_exec._s2830/L0C8, L_inst_exec._s2830/L0C9, C<1>, C<1>;
L_inst_exec._s2830 .functor AND, L_inst_exec._s2830/L1C0, L_inst_exec._s2830/L1C1, L_inst_exec._s2830/L1C2, C<1>;
L_inst_exec._s2835/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2835/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2835/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2835/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2835/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2835/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2835/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2835/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2835/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2835/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2835/L1C0 .functor AND, L_inst_exec._s2835/L0C0, L_inst_exec._s2835/L0C1, L_inst_exec._s2835/L0C2, L_inst_exec._s2835/L0C3;
L_inst_exec._s2835/L1C1 .functor AND, L_inst_exec._s2835/L0C4, L_inst_exec._s2835/L0C5, L_inst_exec._s2835/L0C6, L_inst_exec._s2835/L0C7;
L_inst_exec._s2835/L1C2 .functor AND, L_inst_exec._s2835/L0C8, L_inst_exec._s2835/L0C9, C<1>, C<1>;
L_inst_exec._s2835 .functor AND, L_inst_exec._s2835/L1C0, L_inst_exec._s2835/L1C1, L_inst_exec._s2835/L1C2, C<1>;
L_inst_exec._s2840/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2840/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2840/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2840 .functor AND, L_inst_exec._s2840/L0C0, L_inst_exec._s2840/L0C1, L_inst_exec._s2840/L0C2, C<1>;
L_inst_exec._s2847/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2847/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2847/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2847 .functor AND, L_inst_exec._s2847/L0C0, L_inst_exec._s2847/L0C1, L_inst_exec._s2847/L0C2, C<1>;
L_inst_exec._s2861/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2861/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2861/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2861 .functor AND, L_inst_exec._s2861/L0C0, L_inst_exec._s2861/L0C1, L_inst_exec._s2861/L0C2, C<1>;
L_inst_exec._s2867/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2867/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2867/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2867/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2867/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2867/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2867/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2867/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2867/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2867/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2867/L1C0 .functor AND, L_inst_exec._s2867/L0C0, L_inst_exec._s2867/L0C1, L_inst_exec._s2867/L0C2, L_inst_exec._s2867/L0C3;
L_inst_exec._s2867/L1C1 .functor AND, L_inst_exec._s2867/L0C4, L_inst_exec._s2867/L0C5, L_inst_exec._s2867/L0C6, L_inst_exec._s2867/L0C7;
L_inst_exec._s2867/L1C2 .functor AND, L_inst_exec._s2867/L0C8, L_inst_exec._s2867/L0C9, C<1>, C<1>;
L_inst_exec._s2867 .functor AND, L_inst_exec._s2867/L1C0, L_inst_exec._s2867/L1C1, L_inst_exec._s2867/L1C2, C<1>;
L_inst_exec._s2869/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2869/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2869/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2869/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2869/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2869/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2869/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2869/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2869/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2869/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2869/L1C0 .functor AND, L_inst_exec._s2869/L0C0, L_inst_exec._s2869/L0C1, L_inst_exec._s2869/L0C2, L_inst_exec._s2869/L0C3;
L_inst_exec._s2869/L1C1 .functor AND, L_inst_exec._s2869/L0C4, L_inst_exec._s2869/L0C5, L_inst_exec._s2869/L0C6, L_inst_exec._s2869/L0C7;
L_inst_exec._s2869/L1C2 .functor AND, L_inst_exec._s2869/L0C8, L_inst_exec._s2869/L0C9, C<1>, C<1>;
L_inst_exec._s2869 .functor AND, L_inst_exec._s2869/L1C0, L_inst_exec._s2869/L1C1, L_inst_exec._s2869/L1C2, C<1>;
L_inst_exec._s2874/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2874/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2874/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2874/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2874/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2874/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2874/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2874/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2874/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2874/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2874/L1C0 .functor AND, L_inst_exec._s2874/L0C0, L_inst_exec._s2874/L0C1, L_inst_exec._s2874/L0C2, L_inst_exec._s2874/L0C3;
L_inst_exec._s2874/L1C1 .functor AND, L_inst_exec._s2874/L0C4, L_inst_exec._s2874/L0C5, L_inst_exec._s2874/L0C6, L_inst_exec._s2874/L0C7;
L_inst_exec._s2874/L1C2 .functor AND, L_inst_exec._s2874/L0C8, L_inst_exec._s2874/L0C9, C<1>, C<1>;
L_inst_exec._s2874 .functor AND, L_inst_exec._s2874/L1C0, L_inst_exec._s2874/L1C1, L_inst_exec._s2874/L1C2, C<1>;
L_inst_exec._s2877/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2877/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2877/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2877/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2877/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2877/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2877/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2877/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2877/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2877/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2877/L1C0 .functor AND, L_inst_exec._s2877/L0C0, L_inst_exec._s2877/L0C1, L_inst_exec._s2877/L0C2, L_inst_exec._s2877/L0C3;
L_inst_exec._s2877/L1C1 .functor AND, L_inst_exec._s2877/L0C4, L_inst_exec._s2877/L0C5, L_inst_exec._s2877/L0C6, L_inst_exec._s2877/L0C7;
L_inst_exec._s2877/L1C2 .functor AND, L_inst_exec._s2877/L0C8, L_inst_exec._s2877/L0C9, C<1>, C<1>;
L_inst_exec._s2877 .functor AND, L_inst_exec._s2877/L1C0, L_inst_exec._s2877/L1C1, L_inst_exec._s2877/L1C2, C<1>;
L_inst_exec._s2882/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2882/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2882/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2882/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2882/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2882/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2882/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2882/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2882/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2882/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2882/L1C0 .functor AND, L_inst_exec._s2882/L0C0, L_inst_exec._s2882/L0C1, L_inst_exec._s2882/L0C2, L_inst_exec._s2882/L0C3;
L_inst_exec._s2882/L1C1 .functor AND, L_inst_exec._s2882/L0C4, L_inst_exec._s2882/L0C5, L_inst_exec._s2882/L0C6, L_inst_exec._s2882/L0C7;
L_inst_exec._s2882/L1C2 .functor AND, L_inst_exec._s2882/L0C8, L_inst_exec._s2882/L0C9, C<1>, C<1>;
L_inst_exec._s2882 .functor AND, L_inst_exec._s2882/L1C0, L_inst_exec._s2882/L1C1, L_inst_exec._s2882/L1C2, C<1>;
L_inst_exec._s2885/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2885/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2885/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2885/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2885/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2885/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2885/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2885/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2885/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2885/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2885/L1C0 .functor AND, L_inst_exec._s2885/L0C0, L_inst_exec._s2885/L0C1, L_inst_exec._s2885/L0C2, L_inst_exec._s2885/L0C3;
L_inst_exec._s2885/L1C1 .functor AND, L_inst_exec._s2885/L0C4, L_inst_exec._s2885/L0C5, L_inst_exec._s2885/L0C6, L_inst_exec._s2885/L0C7;
L_inst_exec._s2885/L1C2 .functor AND, L_inst_exec._s2885/L0C8, L_inst_exec._s2885/L0C9, C<1>, C<1>;
L_inst_exec._s2885 .functor AND, L_inst_exec._s2885/L1C0, L_inst_exec._s2885/L1C1, L_inst_exec._s2885/L1C2, C<1>;
L_inst_exec._s2890/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2890/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2890/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2890/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2890/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2890/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2890/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2890/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2890/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2890/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2890/L1C0 .functor AND, L_inst_exec._s2890/L0C0, L_inst_exec._s2890/L0C1, L_inst_exec._s2890/L0C2, L_inst_exec._s2890/L0C3;
L_inst_exec._s2890/L1C1 .functor AND, L_inst_exec._s2890/L0C4, L_inst_exec._s2890/L0C5, L_inst_exec._s2890/L0C6, L_inst_exec._s2890/L0C7;
L_inst_exec._s2890/L1C2 .functor AND, L_inst_exec._s2890/L0C8, L_inst_exec._s2890/L0C9, C<1>, C<1>;
L_inst_exec._s2890 .functor AND, L_inst_exec._s2890/L1C0, L_inst_exec._s2890/L1C1, L_inst_exec._s2890/L1C2, C<1>;
L_inst_exec._s2895/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2895/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2895/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2895/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2895/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2895/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2895/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2895/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2895/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2895/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2895/L1C0 .functor AND, L_inst_exec._s2895/L0C0, L_inst_exec._s2895/L0C1, L_inst_exec._s2895/L0C2, L_inst_exec._s2895/L0C3;
L_inst_exec._s2895/L1C1 .functor AND, L_inst_exec._s2895/L0C4, L_inst_exec._s2895/L0C5, L_inst_exec._s2895/L0C6, L_inst_exec._s2895/L0C7;
L_inst_exec._s2895/L1C2 .functor AND, L_inst_exec._s2895/L0C8, L_inst_exec._s2895/L0C9, C<1>, C<1>;
L_inst_exec._s2895 .functor AND, L_inst_exec._s2895/L1C0, L_inst_exec._s2895/L1C1, L_inst_exec._s2895/L1C2, C<1>;
L_inst_exec._s2900/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2900/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2900/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2900/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2900/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2900/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2900/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2900/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2900/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2900/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2900/L1C0 .functor AND, L_inst_exec._s2900/L0C0, L_inst_exec._s2900/L0C1, L_inst_exec._s2900/L0C2, L_inst_exec._s2900/L0C3;
L_inst_exec._s2900/L1C1 .functor AND, L_inst_exec._s2900/L0C4, L_inst_exec._s2900/L0C5, L_inst_exec._s2900/L0C6, L_inst_exec._s2900/L0C7;
L_inst_exec._s2900/L1C2 .functor AND, L_inst_exec._s2900/L0C8, L_inst_exec._s2900/L0C9, C<1>, C<1>;
L_inst_exec._s2900 .functor AND, L_inst_exec._s2900/L1C0, L_inst_exec._s2900/L1C1, L_inst_exec._s2900/L1C2, C<1>;
L_inst_exec._s2905/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2905/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2905/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2905/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2905/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2905/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2905/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2905/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2905/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2905/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2905/L1C0 .functor AND, L_inst_exec._s2905/L0C0, L_inst_exec._s2905/L0C1, L_inst_exec._s2905/L0C2, L_inst_exec._s2905/L0C3;
L_inst_exec._s2905/L1C1 .functor AND, L_inst_exec._s2905/L0C4, L_inst_exec._s2905/L0C5, L_inst_exec._s2905/L0C6, L_inst_exec._s2905/L0C7;
L_inst_exec._s2905/L1C2 .functor AND, L_inst_exec._s2905/L0C8, L_inst_exec._s2905/L0C9, C<1>, C<1>;
L_inst_exec._s2905 .functor AND, L_inst_exec._s2905/L1C0, L_inst_exec._s2905/L1C1, L_inst_exec._s2905/L1C2, C<1>;
L_inst_exec._s2910/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2910/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2910/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2910/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2910/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2910/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2910/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2910/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2910/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2910/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2910/L1C0 .functor AND, L_inst_exec._s2910/L0C0, L_inst_exec._s2910/L0C1, L_inst_exec._s2910/L0C2, L_inst_exec._s2910/L0C3;
L_inst_exec._s2910/L1C1 .functor AND, L_inst_exec._s2910/L0C4, L_inst_exec._s2910/L0C5, L_inst_exec._s2910/L0C6, L_inst_exec._s2910/L0C7;
L_inst_exec._s2910/L1C2 .functor AND, L_inst_exec._s2910/L0C8, L_inst_exec._s2910/L0C9, C<1>, C<1>;
L_inst_exec._s2910 .functor AND, L_inst_exec._s2910/L1C0, L_inst_exec._s2910/L1C1, L_inst_exec._s2910/L1C2, C<1>;
L_inst_exec._s2915/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2915/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2915/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2915/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2915/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2915/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2915/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2915/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2915/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2915/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2915/L1C0 .functor AND, L_inst_exec._s2915/L0C0, L_inst_exec._s2915/L0C1, L_inst_exec._s2915/L0C2, L_inst_exec._s2915/L0C3;
L_inst_exec._s2915/L1C1 .functor AND, L_inst_exec._s2915/L0C4, L_inst_exec._s2915/L0C5, L_inst_exec._s2915/L0C6, L_inst_exec._s2915/L0C7;
L_inst_exec._s2915/L1C2 .functor AND, L_inst_exec._s2915/L0C8, L_inst_exec._s2915/L0C9, C<1>, C<1>;
L_inst_exec._s2915 .functor AND, L_inst_exec._s2915/L1C0, L_inst_exec._s2915/L1C1, L_inst_exec._s2915/L1C2, C<1>;
L_inst_exec._s2923/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2923/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2923/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2923/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2923/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2923/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2923/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2923/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2923/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2923/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2923/L1C0 .functor AND, L_inst_exec._s2923/L0C0, L_inst_exec._s2923/L0C1, L_inst_exec._s2923/L0C2, L_inst_exec._s2923/L0C3;
L_inst_exec._s2923/L1C1 .functor AND, L_inst_exec._s2923/L0C4, L_inst_exec._s2923/L0C5, L_inst_exec._s2923/L0C6, L_inst_exec._s2923/L0C7;
L_inst_exec._s2923/L1C2 .functor AND, L_inst_exec._s2923/L0C8, L_inst_exec._s2923/L0C9, C<1>, C<1>;
L_inst_exec._s2923 .functor AND, L_inst_exec._s2923/L1C0, L_inst_exec._s2923/L1C1, L_inst_exec._s2923/L1C2, C<1>;
L_inst_exec._s2927/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2927/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2927/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2927/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2927/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2927/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2927/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2927/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2927/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2927/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2927/L1C0 .functor AND, L_inst_exec._s2927/L0C0, L_inst_exec._s2927/L0C1, L_inst_exec._s2927/L0C2, L_inst_exec._s2927/L0C3;
L_inst_exec._s2927/L1C1 .functor AND, L_inst_exec._s2927/L0C4, L_inst_exec._s2927/L0C5, L_inst_exec._s2927/L0C6, L_inst_exec._s2927/L0C7;
L_inst_exec._s2927/L1C2 .functor AND, L_inst_exec._s2927/L0C8, L_inst_exec._s2927/L0C9, C<1>, C<1>;
L_inst_exec._s2927 .functor AND, L_inst_exec._s2927/L1C0, L_inst_exec._s2927/L1C1, L_inst_exec._s2927/L1C2, C<1>;
L_inst_exec._s2931/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2931/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2931/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2931/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2931/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2931/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2931/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2931/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2931/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2931/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2931/L1C0 .functor AND, L_inst_exec._s2931/L0C0, L_inst_exec._s2931/L0C1, L_inst_exec._s2931/L0C2, L_inst_exec._s2931/L0C3;
L_inst_exec._s2931/L1C1 .functor AND, L_inst_exec._s2931/L0C4, L_inst_exec._s2931/L0C5, L_inst_exec._s2931/L0C6, L_inst_exec._s2931/L0C7;
L_inst_exec._s2931/L1C2 .functor AND, L_inst_exec._s2931/L0C8, L_inst_exec._s2931/L0C9, C<1>, C<1>;
L_inst_exec._s2931 .functor AND, L_inst_exec._s2931/L1C0, L_inst_exec._s2931/L1C1, L_inst_exec._s2931/L1C2, C<1>;
L_inst_exec._s2935/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2935/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2935/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2935/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2935/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2935/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2935/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2935/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2935/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2935/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2935/L1C0 .functor AND, L_inst_exec._s2935/L0C0, L_inst_exec._s2935/L0C1, L_inst_exec._s2935/L0C2, L_inst_exec._s2935/L0C3;
L_inst_exec._s2935/L1C1 .functor AND, L_inst_exec._s2935/L0C4, L_inst_exec._s2935/L0C5, L_inst_exec._s2935/L0C6, L_inst_exec._s2935/L0C7;
L_inst_exec._s2935/L1C2 .functor AND, L_inst_exec._s2935/L0C8, L_inst_exec._s2935/L0C9, C<1>, C<1>;
L_inst_exec._s2935 .functor AND, L_inst_exec._s2935/L1C0, L_inst_exec._s2935/L1C1, L_inst_exec._s2935/L1C2, C<1>;
L_inst_exec._s2939/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2939/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2939/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2939/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2939/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2939/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2939/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2939/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2939/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2939/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2939/L1C0 .functor AND, L_inst_exec._s2939/L0C0, L_inst_exec._s2939/L0C1, L_inst_exec._s2939/L0C2, L_inst_exec._s2939/L0C3;
L_inst_exec._s2939/L1C1 .functor AND, L_inst_exec._s2939/L0C4, L_inst_exec._s2939/L0C5, L_inst_exec._s2939/L0C6, L_inst_exec._s2939/L0C7;
L_inst_exec._s2939/L1C2 .functor AND, L_inst_exec._s2939/L0C8, L_inst_exec._s2939/L0C9, C<1>, C<1>;
L_inst_exec._s2939 .functor AND, L_inst_exec._s2939/L1C0, L_inst_exec._s2939/L1C1, L_inst_exec._s2939/L1C2, C<1>;
L_inst_exec._s2944/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2944/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2944/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2944 .functor AND, L_inst_exec._s2944/L0C0, L_inst_exec._s2944/L0C1, L_inst_exec._s2944/L0C2, C<1>;
L_inst_exec._s2951/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2951/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2951/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2951 .functor AND, L_inst_exec._s2951/L0C0, L_inst_exec._s2951/L0C1, L_inst_exec._s2951/L0C2, C<1>;
L_inst_exec._s2965/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2965/L0C1 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s2965/L0C2 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s2965 .functor AND, L_inst_exec._s2965/L0C0, L_inst_exec._s2965/L0C1, L_inst_exec._s2965/L0C2, C<1>;
L_inst_exec._s2972/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2972/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2972/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2972/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2972/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2972/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2972/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2972/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2972/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2972/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2972/L1C0 .functor AND, L_inst_exec._s2972/L0C0, L_inst_exec._s2972/L0C1, L_inst_exec._s2972/L0C2, L_inst_exec._s2972/L0C3;
L_inst_exec._s2972/L1C1 .functor AND, L_inst_exec._s2972/L0C4, L_inst_exec._s2972/L0C5, L_inst_exec._s2972/L0C6, L_inst_exec._s2972/L0C7;
L_inst_exec._s2972/L1C2 .functor AND, L_inst_exec._s2972/L0C8, L_inst_exec._s2972/L0C9, C<1>, C<1>;
L_inst_exec._s2972 .functor AND, L_inst_exec._s2972/L1C0, L_inst_exec._s2972/L1C1, L_inst_exec._s2972/L1C2, C<1>;
L_inst_exec._s2975/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2975/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2975/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2975/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2975/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2975/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2975/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2975/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2975/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2975/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2975/L1C0 .functor AND, L_inst_exec._s2975/L0C0, L_inst_exec._s2975/L0C1, L_inst_exec._s2975/L0C2, L_inst_exec._s2975/L0C3;
L_inst_exec._s2975/L1C1 .functor AND, L_inst_exec._s2975/L0C4, L_inst_exec._s2975/L0C5, L_inst_exec._s2975/L0C6, L_inst_exec._s2975/L0C7;
L_inst_exec._s2975/L1C2 .functor AND, L_inst_exec._s2975/L0C8, L_inst_exec._s2975/L0C9, C<1>, C<1>;
L_inst_exec._s2975 .functor AND, L_inst_exec._s2975/L1C0, L_inst_exec._s2975/L1C1, L_inst_exec._s2975/L1C2, C<1>;
L_inst_exec._s2980/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2980/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2980/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2980/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2980/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2980/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2980/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2980/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2980/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2980/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2980/L1C0 .functor AND, L_inst_exec._s2980/L0C0, L_inst_exec._s2980/L0C1, L_inst_exec._s2980/L0C2, L_inst_exec._s2980/L0C3;
L_inst_exec._s2980/L1C1 .functor AND, L_inst_exec._s2980/L0C4, L_inst_exec._s2980/L0C5, L_inst_exec._s2980/L0C6, L_inst_exec._s2980/L0C7;
L_inst_exec._s2980/L1C2 .functor AND, L_inst_exec._s2980/L0C8, L_inst_exec._s2980/L0C9, C<1>, C<1>;
L_inst_exec._s2980 .functor AND, L_inst_exec._s2980/L1C0, L_inst_exec._s2980/L1C1, L_inst_exec._s2980/L1C2, C<1>;
L_inst_exec._s2985/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2985/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2985/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2985/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2985/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2985/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2985/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2985/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2985/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2985/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2985/L1C0 .functor AND, L_inst_exec._s2985/L0C0, L_inst_exec._s2985/L0C1, L_inst_exec._s2985/L0C2, L_inst_exec._s2985/L0C3;
L_inst_exec._s2985/L1C1 .functor AND, L_inst_exec._s2985/L0C4, L_inst_exec._s2985/L0C5, L_inst_exec._s2985/L0C6, L_inst_exec._s2985/L0C7;
L_inst_exec._s2985/L1C2 .functor AND, L_inst_exec._s2985/L0C8, L_inst_exec._s2985/L0C9, C<1>, C<1>;
L_inst_exec._s2985 .functor AND, L_inst_exec._s2985/L1C0, L_inst_exec._s2985/L1C1, L_inst_exec._s2985/L1C2, C<1>;
L_inst_exec._s2990/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2990/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2990/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2990/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2990/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2990/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2990/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2990/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2990/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2990/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2990/L1C0 .functor AND, L_inst_exec._s2990/L0C0, L_inst_exec._s2990/L0C1, L_inst_exec._s2990/L0C2, L_inst_exec._s2990/L0C3;
L_inst_exec._s2990/L1C1 .functor AND, L_inst_exec._s2990/L0C4, L_inst_exec._s2990/L0C5, L_inst_exec._s2990/L0C6, L_inst_exec._s2990/L0C7;
L_inst_exec._s2990/L1C2 .functor AND, L_inst_exec._s2990/L0C8, L_inst_exec._s2990/L0C9, C<1>, C<1>;
L_inst_exec._s2990 .functor AND, L_inst_exec._s2990/L1C0, L_inst_exec._s2990/L1C1, L_inst_exec._s2990/L1C2, C<1>;
L_inst_exec._s2995/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2995/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2995/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2995/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2995/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2995/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s2995/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2995/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2995/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2995/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s2995/L1C0 .functor AND, L_inst_exec._s2995/L0C0, L_inst_exec._s2995/L0C1, L_inst_exec._s2995/L0C2, L_inst_exec._s2995/L0C3;
L_inst_exec._s2995/L1C1 .functor AND, L_inst_exec._s2995/L0C4, L_inst_exec._s2995/L0C5, L_inst_exec._s2995/L0C6, L_inst_exec._s2995/L0C7;
L_inst_exec._s2995/L1C2 .functor AND, L_inst_exec._s2995/L0C8, L_inst_exec._s2995/L0C9, C<1>, C<1>;
L_inst_exec._s2995 .functor AND, L_inst_exec._s2995/L1C0, L_inst_exec._s2995/L1C1, L_inst_exec._s2995/L1C2, C<1>;
L_inst_exec._s3000/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3000/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3000/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3000/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3000/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3000/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3000/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3000/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3000/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3000/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3000/L1C0 .functor AND, L_inst_exec._s3000/L0C0, L_inst_exec._s3000/L0C1, L_inst_exec._s3000/L0C2, L_inst_exec._s3000/L0C3;
L_inst_exec._s3000/L1C1 .functor AND, L_inst_exec._s3000/L0C4, L_inst_exec._s3000/L0C5, L_inst_exec._s3000/L0C6, L_inst_exec._s3000/L0C7;
L_inst_exec._s3000/L1C2 .functor AND, L_inst_exec._s3000/L0C8, L_inst_exec._s3000/L0C9, C<1>, C<1>;
L_inst_exec._s3000 .functor AND, L_inst_exec._s3000/L1C0, L_inst_exec._s3000/L1C1, L_inst_exec._s3000/L1C2, C<1>;
L_inst_exec._s3003/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3003/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3003/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3003/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3003/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3003/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3003/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3003/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3003/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3003/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3003/L1C0 .functor AND, L_inst_exec._s3003/L0C0, L_inst_exec._s3003/L0C1, L_inst_exec._s3003/L0C2, L_inst_exec._s3003/L0C3;
L_inst_exec._s3003/L1C1 .functor AND, L_inst_exec._s3003/L0C4, L_inst_exec._s3003/L0C5, L_inst_exec._s3003/L0C6, L_inst_exec._s3003/L0C7;
L_inst_exec._s3003/L1C2 .functor AND, L_inst_exec._s3003/L0C8, L_inst_exec._s3003/L0C9, C<1>, C<1>;
L_inst_exec._s3003 .functor AND, L_inst_exec._s3003/L1C0, L_inst_exec._s3003/L1C1, L_inst_exec._s3003/L1C2, C<1>;
L_inst_exec._s3008/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3008/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3008/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3008/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3008/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3008/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3008/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3008/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3008/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3008/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3008/L1C0 .functor AND, L_inst_exec._s3008/L0C0, L_inst_exec._s3008/L0C1, L_inst_exec._s3008/L0C2, L_inst_exec._s3008/L0C3;
L_inst_exec._s3008/L1C1 .functor AND, L_inst_exec._s3008/L0C4, L_inst_exec._s3008/L0C5, L_inst_exec._s3008/L0C6, L_inst_exec._s3008/L0C7;
L_inst_exec._s3008/L1C2 .functor AND, L_inst_exec._s3008/L0C8, L_inst_exec._s3008/L0C9, C<1>, C<1>;
L_inst_exec._s3008 .functor AND, L_inst_exec._s3008/L1C0, L_inst_exec._s3008/L1C1, L_inst_exec._s3008/L1C2, C<1>;
L_inst_exec._s3011/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3011/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3011/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3011/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3011/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3011/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3011/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3011/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3011/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3011/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3011/L1C0 .functor AND, L_inst_exec._s3011/L0C0, L_inst_exec._s3011/L0C1, L_inst_exec._s3011/L0C2, L_inst_exec._s3011/L0C3;
L_inst_exec._s3011/L1C1 .functor AND, L_inst_exec._s3011/L0C4, L_inst_exec._s3011/L0C5, L_inst_exec._s3011/L0C6, L_inst_exec._s3011/L0C7;
L_inst_exec._s3011/L1C2 .functor AND, L_inst_exec._s3011/L0C8, L_inst_exec._s3011/L0C9, C<1>, C<1>;
L_inst_exec._s3011 .functor AND, L_inst_exec._s3011/L1C0, L_inst_exec._s3011/L1C1, L_inst_exec._s3011/L1C2, C<1>;
L_inst_exec._s3016/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3016/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3016/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3016/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3016/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3016/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3016/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3016/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3016/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3016/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3016/L1C0 .functor AND, L_inst_exec._s3016/L0C0, L_inst_exec._s3016/L0C1, L_inst_exec._s3016/L0C2, L_inst_exec._s3016/L0C3;
L_inst_exec._s3016/L1C1 .functor AND, L_inst_exec._s3016/L0C4, L_inst_exec._s3016/L0C5, L_inst_exec._s3016/L0C6, L_inst_exec._s3016/L0C7;
L_inst_exec._s3016/L1C2 .functor AND, L_inst_exec._s3016/L0C8, L_inst_exec._s3016/L0C9, C<1>, C<1>;
L_inst_exec._s3016 .functor AND, L_inst_exec._s3016/L1C0, L_inst_exec._s3016/L1C1, L_inst_exec._s3016/L1C2, C<1>;
L_inst_exec._s3021/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3021/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3021/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3021/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3021/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3021/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3021/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3021/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3021/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3021/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3021/L1C0 .functor AND, L_inst_exec._s3021/L0C0, L_inst_exec._s3021/L0C1, L_inst_exec._s3021/L0C2, L_inst_exec._s3021/L0C3;
L_inst_exec._s3021/L1C1 .functor AND, L_inst_exec._s3021/L0C4, L_inst_exec._s3021/L0C5, L_inst_exec._s3021/L0C6, L_inst_exec._s3021/L0C7;
L_inst_exec._s3021/L1C2 .functor AND, L_inst_exec._s3021/L0C8, L_inst_exec._s3021/L0C9, C<1>, C<1>;
L_inst_exec._s3021 .functor AND, L_inst_exec._s3021/L1C0, L_inst_exec._s3021/L1C1, L_inst_exec._s3021/L1C2, C<1>;
L_inst_exec._s3026/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3026/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3026/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3026/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3026/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3026/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3026/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3026/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3026/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3026/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3026/L1C0 .functor AND, L_inst_exec._s3026/L0C0, L_inst_exec._s3026/L0C1, L_inst_exec._s3026/L0C2, L_inst_exec._s3026/L0C3;
L_inst_exec._s3026/L1C1 .functor AND, L_inst_exec._s3026/L0C4, L_inst_exec._s3026/L0C5, L_inst_exec._s3026/L0C6, L_inst_exec._s3026/L0C7;
L_inst_exec._s3026/L1C2 .functor AND, L_inst_exec._s3026/L0C8, L_inst_exec._s3026/L0C9, C<1>, C<1>;
L_inst_exec._s3026 .functor AND, L_inst_exec._s3026/L1C0, L_inst_exec._s3026/L1C1, L_inst_exec._s3026/L1C2, C<1>;
L_inst_exec._s3031/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3031/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3031/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3031/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3031/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3031/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3031/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3031/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3031/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3031/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3031/L1C0 .functor AND, L_inst_exec._s3031/L0C0, L_inst_exec._s3031/L0C1, L_inst_exec._s3031/L0C2, L_inst_exec._s3031/L0C3;
L_inst_exec._s3031/L1C1 .functor AND, L_inst_exec._s3031/L0C4, L_inst_exec._s3031/L0C5, L_inst_exec._s3031/L0C6, L_inst_exec._s3031/L0C7;
L_inst_exec._s3031/L1C2 .functor AND, L_inst_exec._s3031/L0C8, L_inst_exec._s3031/L0C9, C<1>, C<1>;
L_inst_exec._s3031 .functor AND, L_inst_exec._s3031/L1C0, L_inst_exec._s3031/L1C1, L_inst_exec._s3031/L1C2, C<1>;
L_inst_exec._s3036/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3036/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3036/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3036/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3036/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3036/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3036/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3036/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3036/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3036/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3036/L1C0 .functor AND, L_inst_exec._s3036/L0C0, L_inst_exec._s3036/L0C1, L_inst_exec._s3036/L0C2, L_inst_exec._s3036/L0C3;
L_inst_exec._s3036/L1C1 .functor AND, L_inst_exec._s3036/L0C4, L_inst_exec._s3036/L0C5, L_inst_exec._s3036/L0C6, L_inst_exec._s3036/L0C7;
L_inst_exec._s3036/L1C2 .functor AND, L_inst_exec._s3036/L0C8, L_inst_exec._s3036/L0C9, C<1>, C<1>;
L_inst_exec._s3036 .functor AND, L_inst_exec._s3036/L1C0, L_inst_exec._s3036/L1C1, L_inst_exec._s3036/L1C2, C<1>;
L_inst_exec._s3041/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3041/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3041/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3041/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3041/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3041/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3041/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3041/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3041/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3041/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3041/L1C0 .functor AND, L_inst_exec._s3041/L0C0, L_inst_exec._s3041/L0C1, L_inst_exec._s3041/L0C2, L_inst_exec._s3041/L0C3;
L_inst_exec._s3041/L1C1 .functor AND, L_inst_exec._s3041/L0C4, L_inst_exec._s3041/L0C5, L_inst_exec._s3041/L0C6, L_inst_exec._s3041/L0C7;
L_inst_exec._s3041/L1C2 .functor AND, L_inst_exec._s3041/L0C8, L_inst_exec._s3041/L0C9, C<1>, C<1>;
L_inst_exec._s3041 .functor AND, L_inst_exec._s3041/L1C0, L_inst_exec._s3041/L1C1, L_inst_exec._s3041/L1C2, C<1>;
L_inst_exec._s3050/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3050/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3050/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3050/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3050/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3050/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3050/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3050/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3050/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3050/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3050/L1C0 .functor AND, L_inst_exec._s3050/L0C0, L_inst_exec._s3050/L0C1, L_inst_exec._s3050/L0C2, L_inst_exec._s3050/L0C3;
L_inst_exec._s3050/L1C1 .functor AND, L_inst_exec._s3050/L0C4, L_inst_exec._s3050/L0C5, L_inst_exec._s3050/L0C6, L_inst_exec._s3050/L0C7;
L_inst_exec._s3050/L1C2 .functor AND, L_inst_exec._s3050/L0C8, L_inst_exec._s3050/L0C9, C<1>, C<1>;
L_inst_exec._s3050 .functor AND, L_inst_exec._s3050/L1C0, L_inst_exec._s3050/L1C1, L_inst_exec._s3050/L1C2, C<1>;
L_inst_exec._s3055/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3055/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3055/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3055/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3055/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3055/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3055/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3055/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3055/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3055/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3055/L1C0 .functor AND, L_inst_exec._s3055/L0C0, L_inst_exec._s3055/L0C1, L_inst_exec._s3055/L0C2, L_inst_exec._s3055/L0C3;
L_inst_exec._s3055/L1C1 .functor AND, L_inst_exec._s3055/L0C4, L_inst_exec._s3055/L0C5, L_inst_exec._s3055/L0C6, L_inst_exec._s3055/L0C7;
L_inst_exec._s3055/L1C2 .functor AND, L_inst_exec._s3055/L0C8, L_inst_exec._s3055/L0C9, C<1>, C<1>;
L_inst_exec._s3055 .functor AND, L_inst_exec._s3055/L1C0, L_inst_exec._s3055/L1C1, L_inst_exec._s3055/L1C2, C<1>;
L_inst_exec._s3060/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3060/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3060/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3060/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3060/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3060/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3060/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3060/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3060/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3060/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3060/L1C0 .functor AND, L_inst_exec._s3060/L0C0, L_inst_exec._s3060/L0C1, L_inst_exec._s3060/L0C2, L_inst_exec._s3060/L0C3;
L_inst_exec._s3060/L1C1 .functor AND, L_inst_exec._s3060/L0C4, L_inst_exec._s3060/L0C5, L_inst_exec._s3060/L0C6, L_inst_exec._s3060/L0C7;
L_inst_exec._s3060/L1C2 .functor AND, L_inst_exec._s3060/L0C8, L_inst_exec._s3060/L0C9, C<1>, C<1>;
L_inst_exec._s3060 .functor AND, L_inst_exec._s3060/L1C0, L_inst_exec._s3060/L1C1, L_inst_exec._s3060/L1C2, C<1>;
L_inst_exec._s3064/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3064/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3064/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3064/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3064/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3064/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3064/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3064/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3064/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3064/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3064/L1C0 .functor AND, L_inst_exec._s3064/L0C0, L_inst_exec._s3064/L0C1, L_inst_exec._s3064/L0C2, L_inst_exec._s3064/L0C3;
L_inst_exec._s3064/L1C1 .functor AND, L_inst_exec._s3064/L0C4, L_inst_exec._s3064/L0C5, L_inst_exec._s3064/L0C6, L_inst_exec._s3064/L0C7;
L_inst_exec._s3064/L1C2 .functor AND, L_inst_exec._s3064/L0C8, L_inst_exec._s3064/L0C9, C<1>, C<1>;
L_inst_exec._s3064 .functor AND, L_inst_exec._s3064/L1C0, L_inst_exec._s3064/L1C1, L_inst_exec._s3064/L1C2, C<1>;
L_inst_exec._s3068/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3068/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3068/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3068/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3068/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3068/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3068/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3068/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3068/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3068/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3068/L1C0 .functor AND, L_inst_exec._s3068/L0C0, L_inst_exec._s3068/L0C1, L_inst_exec._s3068/L0C2, L_inst_exec._s3068/L0C3;
L_inst_exec._s3068/L1C1 .functor AND, L_inst_exec._s3068/L0C4, L_inst_exec._s3068/L0C5, L_inst_exec._s3068/L0C6, L_inst_exec._s3068/L0C7;
L_inst_exec._s3068/L1C2 .functor AND, L_inst_exec._s3068/L0C8, L_inst_exec._s3068/L0C9, C<1>, C<1>;
L_inst_exec._s3068 .functor AND, L_inst_exec._s3068/L1C0, L_inst_exec._s3068/L1C1, L_inst_exec._s3068/L1C2, C<1>;
L_inst_exec._s3073/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3073/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3073/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3073/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3073/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3073/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3073/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3073/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3073/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3073/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3073/L1C0 .functor AND, L_inst_exec._s3073/L0C0, L_inst_exec._s3073/L0C1, L_inst_exec._s3073/L0C2, L_inst_exec._s3073/L0C3;
L_inst_exec._s3073/L1C1 .functor AND, L_inst_exec._s3073/L0C4, L_inst_exec._s3073/L0C5, L_inst_exec._s3073/L0C6, L_inst_exec._s3073/L0C7;
L_inst_exec._s3073/L1C2 .functor AND, L_inst_exec._s3073/L0C8, L_inst_exec._s3073/L0C9, C<1>, C<1>;
L_inst_exec._s3073 .functor AND, L_inst_exec._s3073/L1C0, L_inst_exec._s3073/L1C1, L_inst_exec._s3073/L1C2, C<1>;
L_inst_exec._s3078/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s3078/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s3078/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s3078 .functor AND, L_inst_exec._s3078/L0C0, L_inst_exec._s3078/L0C1, L_inst_exec._s3078/L0C2, C<1>;
L_inst_exec._s3085/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s3085/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s3085/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s3085 .functor AND, L_inst_exec._s3085/L0C0, L_inst_exec._s3085/L0C1, L_inst_exec._s3085/L0C2, C<1>;
L_inst_exec._s3099/L0C0 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s3099/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s3099/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s3099 .functor AND, L_inst_exec._s3099/L0C0, L_inst_exec._s3099/L0C1, L_inst_exec._s3099/L0C2, C<1>;
L_inst_exec._s3111/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3111/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3111/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3111/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3111/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3111/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3111/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3111/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3111/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3111/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3111/L1C0 .functor AND, L_inst_exec._s3111/L0C0, L_inst_exec._s3111/L0C1, L_inst_exec._s3111/L0C2, L_inst_exec._s3111/L0C3;
L_inst_exec._s3111/L1C1 .functor AND, L_inst_exec._s3111/L0C4, L_inst_exec._s3111/L0C5, L_inst_exec._s3111/L0C6, L_inst_exec._s3111/L0C7;
L_inst_exec._s3111/L1C2 .functor AND, L_inst_exec._s3111/L0C8, L_inst_exec._s3111/L0C9, C<1>, C<1>;
L_inst_exec._s3111 .functor AND, L_inst_exec._s3111/L1C0, L_inst_exec._s3111/L1C1, L_inst_exec._s3111/L1C2, C<1>;
L_inst_exec._s3113/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3113/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3113/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3113/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3113/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3113/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3113/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3113/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3113/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3113/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3113/L1C0 .functor AND, L_inst_exec._s3113/L0C0, L_inst_exec._s3113/L0C1, L_inst_exec._s3113/L0C2, L_inst_exec._s3113/L0C3;
L_inst_exec._s3113/L1C1 .functor AND, L_inst_exec._s3113/L0C4, L_inst_exec._s3113/L0C5, L_inst_exec._s3113/L0C6, L_inst_exec._s3113/L0C7;
L_inst_exec._s3113/L1C2 .functor AND, L_inst_exec._s3113/L0C8, L_inst_exec._s3113/L0C9, C<1>, C<1>;
L_inst_exec._s3113 .functor AND, L_inst_exec._s3113/L1C0, L_inst_exec._s3113/L1C1, L_inst_exec._s3113/L1C2, C<1>;
L_inst_exec._s3117/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3117/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3117/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3117/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3117/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3117/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3117/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3117/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3117/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3117/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3117/L1C0 .functor AND, L_inst_exec._s3117/L0C0, L_inst_exec._s3117/L0C1, L_inst_exec._s3117/L0C2, L_inst_exec._s3117/L0C3;
L_inst_exec._s3117/L1C1 .functor AND, L_inst_exec._s3117/L0C4, L_inst_exec._s3117/L0C5, L_inst_exec._s3117/L0C6, L_inst_exec._s3117/L0C7;
L_inst_exec._s3117/L1C2 .functor AND, L_inst_exec._s3117/L0C8, L_inst_exec._s3117/L0C9, C<1>, C<1>;
L_inst_exec._s3117 .functor AND, L_inst_exec._s3117/L1C0, L_inst_exec._s3117/L1C1, L_inst_exec._s3117/L1C2, C<1>;
L_inst_exec._s3121/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3121/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3121/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3121/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3121/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3121/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3121/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3121/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3121/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3121/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3121/L1C0 .functor AND, L_inst_exec._s3121/L0C0, L_inst_exec._s3121/L0C1, L_inst_exec._s3121/L0C2, L_inst_exec._s3121/L0C3;
L_inst_exec._s3121/L1C1 .functor AND, L_inst_exec._s3121/L0C4, L_inst_exec._s3121/L0C5, L_inst_exec._s3121/L0C6, L_inst_exec._s3121/L0C7;
L_inst_exec._s3121/L1C2 .functor AND, L_inst_exec._s3121/L0C8, L_inst_exec._s3121/L0C9, C<1>, C<1>;
L_inst_exec._s3121 .functor AND, L_inst_exec._s3121/L1C0, L_inst_exec._s3121/L1C1, L_inst_exec._s3121/L1C2, C<1>;
L_inst_exec._s3125/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3125/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3125/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3125/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3125/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3125/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3125/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3125/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3125/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3125/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3125/L1C0 .functor AND, L_inst_exec._s3125/L0C0, L_inst_exec._s3125/L0C1, L_inst_exec._s3125/L0C2, L_inst_exec._s3125/L0C3;
L_inst_exec._s3125/L1C1 .functor AND, L_inst_exec._s3125/L0C4, L_inst_exec._s3125/L0C5, L_inst_exec._s3125/L0C6, L_inst_exec._s3125/L0C7;
L_inst_exec._s3125/L1C2 .functor AND, L_inst_exec._s3125/L0C8, L_inst_exec._s3125/L0C9, C<1>, C<1>;
L_inst_exec._s3125 .functor AND, L_inst_exec._s3125/L1C0, L_inst_exec._s3125/L1C1, L_inst_exec._s3125/L1C2, C<1>;
L_inst_exec._s3129/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3129/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3129/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3129/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3129/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3129/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3129/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3129/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3129/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3129/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3129/L1C0 .functor AND, L_inst_exec._s3129/L0C0, L_inst_exec._s3129/L0C1, L_inst_exec._s3129/L0C2, L_inst_exec._s3129/L0C3;
L_inst_exec._s3129/L1C1 .functor AND, L_inst_exec._s3129/L0C4, L_inst_exec._s3129/L0C5, L_inst_exec._s3129/L0C6, L_inst_exec._s3129/L0C7;
L_inst_exec._s3129/L1C2 .functor AND, L_inst_exec._s3129/L0C8, L_inst_exec._s3129/L0C9, C<1>, C<1>;
L_inst_exec._s3129 .functor AND, L_inst_exec._s3129/L1C0, L_inst_exec._s3129/L1C1, L_inst_exec._s3129/L1C2, C<1>;
L_inst_exec._s3134/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3134/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3134/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3134/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3134/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3134/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3134/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3134/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3134/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3134/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3134/L1C0 .functor AND, L_inst_exec._s3134/L0C0, L_inst_exec._s3134/L0C1, L_inst_exec._s3134/L0C2, L_inst_exec._s3134/L0C3;
L_inst_exec._s3134/L1C1 .functor AND, L_inst_exec._s3134/L0C4, L_inst_exec._s3134/L0C5, L_inst_exec._s3134/L0C6, L_inst_exec._s3134/L0C7;
L_inst_exec._s3134/L1C2 .functor AND, L_inst_exec._s3134/L0C8, L_inst_exec._s3134/L0C9, C<1>, C<1>;
L_inst_exec._s3134 .functor AND, L_inst_exec._s3134/L1C0, L_inst_exec._s3134/L1C1, L_inst_exec._s3134/L1C2, C<1>;
L_inst_exec._s3137/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3137/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3137/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3137/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3137/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3137/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3137/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3137/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3137/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3137/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3137/L1C0 .functor AND, L_inst_exec._s3137/L0C0, L_inst_exec._s3137/L0C1, L_inst_exec._s3137/L0C2, L_inst_exec._s3137/L0C3;
L_inst_exec._s3137/L1C1 .functor AND, L_inst_exec._s3137/L0C4, L_inst_exec._s3137/L0C5, L_inst_exec._s3137/L0C6, L_inst_exec._s3137/L0C7;
L_inst_exec._s3137/L1C2 .functor AND, L_inst_exec._s3137/L0C8, L_inst_exec._s3137/L0C9, C<1>, C<1>;
L_inst_exec._s3137 .functor AND, L_inst_exec._s3137/L1C0, L_inst_exec._s3137/L1C1, L_inst_exec._s3137/L1C2, C<1>;
L_inst_exec._s3142/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3142/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3142/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3142/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3142/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3142/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3142/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3142/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3142/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3142/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3142/L1C0 .functor AND, L_inst_exec._s3142/L0C0, L_inst_exec._s3142/L0C1, L_inst_exec._s3142/L0C2, L_inst_exec._s3142/L0C3;
L_inst_exec._s3142/L1C1 .functor AND, L_inst_exec._s3142/L0C4, L_inst_exec._s3142/L0C5, L_inst_exec._s3142/L0C6, L_inst_exec._s3142/L0C7;
L_inst_exec._s3142/L1C2 .functor AND, L_inst_exec._s3142/L0C8, L_inst_exec._s3142/L0C9, C<1>, C<1>;
L_inst_exec._s3142 .functor AND, L_inst_exec._s3142/L1C0, L_inst_exec._s3142/L1C1, L_inst_exec._s3142/L1C2, C<1>;
L_inst_exec._s3145/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3145/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3145/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3145/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3145/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3145/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3145/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3145/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3145/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3145/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3145/L1C0 .functor AND, L_inst_exec._s3145/L0C0, L_inst_exec._s3145/L0C1, L_inst_exec._s3145/L0C2, L_inst_exec._s3145/L0C3;
L_inst_exec._s3145/L1C1 .functor AND, L_inst_exec._s3145/L0C4, L_inst_exec._s3145/L0C5, L_inst_exec._s3145/L0C6, L_inst_exec._s3145/L0C7;
L_inst_exec._s3145/L1C2 .functor AND, L_inst_exec._s3145/L0C8, L_inst_exec._s3145/L0C9, C<1>, C<1>;
L_inst_exec._s3145 .functor AND, L_inst_exec._s3145/L1C0, L_inst_exec._s3145/L1C1, L_inst_exec._s3145/L1C2, C<1>;
L_inst_exec._s3150/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3150/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3150/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3150/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3150/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3150/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3150/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3150/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3150/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3150/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3150/L1C0 .functor AND, L_inst_exec._s3150/L0C0, L_inst_exec._s3150/L0C1, L_inst_exec._s3150/L0C2, L_inst_exec._s3150/L0C3;
L_inst_exec._s3150/L1C1 .functor AND, L_inst_exec._s3150/L0C4, L_inst_exec._s3150/L0C5, L_inst_exec._s3150/L0C6, L_inst_exec._s3150/L0C7;
L_inst_exec._s3150/L1C2 .functor AND, L_inst_exec._s3150/L0C8, L_inst_exec._s3150/L0C9, C<1>, C<1>;
L_inst_exec._s3150 .functor AND, L_inst_exec._s3150/L1C0, L_inst_exec._s3150/L1C1, L_inst_exec._s3150/L1C2, C<1>;
L_inst_exec._s3155/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3155/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3155/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3155/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3155/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3155/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3155/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3155/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3155/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3155/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3155/L1C0 .functor AND, L_inst_exec._s3155/L0C0, L_inst_exec._s3155/L0C1, L_inst_exec._s3155/L0C2, L_inst_exec._s3155/L0C3;
L_inst_exec._s3155/L1C1 .functor AND, L_inst_exec._s3155/L0C4, L_inst_exec._s3155/L0C5, L_inst_exec._s3155/L0C6, L_inst_exec._s3155/L0C7;
L_inst_exec._s3155/L1C2 .functor AND, L_inst_exec._s3155/L0C8, L_inst_exec._s3155/L0C9, C<1>, C<1>;
L_inst_exec._s3155 .functor AND, L_inst_exec._s3155/L1C0, L_inst_exec._s3155/L1C1, L_inst_exec._s3155/L1C2, C<1>;
L_inst_exec._s3160/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3160/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3160/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3160/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3160/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3160/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3160/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3160/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3160/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3160/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3160/L1C0 .functor AND, L_inst_exec._s3160/L0C0, L_inst_exec._s3160/L0C1, L_inst_exec._s3160/L0C2, L_inst_exec._s3160/L0C3;
L_inst_exec._s3160/L1C1 .functor AND, L_inst_exec._s3160/L0C4, L_inst_exec._s3160/L0C5, L_inst_exec._s3160/L0C6, L_inst_exec._s3160/L0C7;
L_inst_exec._s3160/L1C2 .functor AND, L_inst_exec._s3160/L0C8, L_inst_exec._s3160/L0C9, C<1>, C<1>;
L_inst_exec._s3160 .functor AND, L_inst_exec._s3160/L1C0, L_inst_exec._s3160/L1C1, L_inst_exec._s3160/L1C2, C<1>;
L_inst_exec._s3165/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3165/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3165/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3165/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3165/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3165/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3165/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3165/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3165/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3165/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3165/L1C0 .functor AND, L_inst_exec._s3165/L0C0, L_inst_exec._s3165/L0C1, L_inst_exec._s3165/L0C2, L_inst_exec._s3165/L0C3;
L_inst_exec._s3165/L1C1 .functor AND, L_inst_exec._s3165/L0C4, L_inst_exec._s3165/L0C5, L_inst_exec._s3165/L0C6, L_inst_exec._s3165/L0C7;
L_inst_exec._s3165/L1C2 .functor AND, L_inst_exec._s3165/L0C8, L_inst_exec._s3165/L0C9, C<1>, C<1>;
L_inst_exec._s3165 .functor AND, L_inst_exec._s3165/L1C0, L_inst_exec._s3165/L1C1, L_inst_exec._s3165/L1C2, C<1>;
L_inst_exec._s3170/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3170/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3170/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3170/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3170/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3170/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3170/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3170/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3170/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3170/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3170/L1C0 .functor AND, L_inst_exec._s3170/L0C0, L_inst_exec._s3170/L0C1, L_inst_exec._s3170/L0C2, L_inst_exec._s3170/L0C3;
L_inst_exec._s3170/L1C1 .functor AND, L_inst_exec._s3170/L0C4, L_inst_exec._s3170/L0C5, L_inst_exec._s3170/L0C6, L_inst_exec._s3170/L0C7;
L_inst_exec._s3170/L1C2 .functor AND, L_inst_exec._s3170/L0C8, L_inst_exec._s3170/L0C9, C<1>, C<1>;
L_inst_exec._s3170 .functor AND, L_inst_exec._s3170/L1C0, L_inst_exec._s3170/L1C1, L_inst_exec._s3170/L1C2, C<1>;
L_inst_exec._s3175/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3175/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3175/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3175/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3175/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3175/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3175/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3175/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3175/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3175/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3175/L1C0 .functor AND, L_inst_exec._s3175/L0C0, L_inst_exec._s3175/L0C1, L_inst_exec._s3175/L0C2, L_inst_exec._s3175/L0C3;
L_inst_exec._s3175/L1C1 .functor AND, L_inst_exec._s3175/L0C4, L_inst_exec._s3175/L0C5, L_inst_exec._s3175/L0C6, L_inst_exec._s3175/L0C7;
L_inst_exec._s3175/L1C2 .functor AND, L_inst_exec._s3175/L0C8, L_inst_exec._s3175/L0C9, C<1>, C<1>;
L_inst_exec._s3175 .functor AND, L_inst_exec._s3175/L1C0, L_inst_exec._s3175/L1C1, L_inst_exec._s3175/L1C2, C<1>;
L_inst_exec._s3183/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3183/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3183/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3183/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3183/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3183/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3183/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3183/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3183/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3183/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3183/L1C0 .functor AND, L_inst_exec._s3183/L0C0, L_inst_exec._s3183/L0C1, L_inst_exec._s3183/L0C2, L_inst_exec._s3183/L0C3;
L_inst_exec._s3183/L1C1 .functor AND, L_inst_exec._s3183/L0C4, L_inst_exec._s3183/L0C5, L_inst_exec._s3183/L0C6, L_inst_exec._s3183/L0C7;
L_inst_exec._s3183/L1C2 .functor AND, L_inst_exec._s3183/L0C8, L_inst_exec._s3183/L0C9, C<1>, C<1>;
L_inst_exec._s3183 .functor AND, L_inst_exec._s3183/L1C0, L_inst_exec._s3183/L1C1, L_inst_exec._s3183/L1C2, C<1>;
L_inst_exec._s3187/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3187/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3187/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3187/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3187/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3187/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3187/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3187/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3187/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3187/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3187/L1C0 .functor AND, L_inst_exec._s3187/L0C0, L_inst_exec._s3187/L0C1, L_inst_exec._s3187/L0C2, L_inst_exec._s3187/L0C3;
L_inst_exec._s3187/L1C1 .functor AND, L_inst_exec._s3187/L0C4, L_inst_exec._s3187/L0C5, L_inst_exec._s3187/L0C6, L_inst_exec._s3187/L0C7;
L_inst_exec._s3187/L1C2 .functor AND, L_inst_exec._s3187/L0C8, L_inst_exec._s3187/L0C9, C<1>, C<1>;
L_inst_exec._s3187 .functor AND, L_inst_exec._s3187/L1C0, L_inst_exec._s3187/L1C1, L_inst_exec._s3187/L1C2, C<1>;
L_inst_exec._s3191/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3191/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3191/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3191/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3191/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3191/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3191/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3191/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3191/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3191/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3191/L1C0 .functor AND, L_inst_exec._s3191/L0C0, L_inst_exec._s3191/L0C1, L_inst_exec._s3191/L0C2, L_inst_exec._s3191/L0C3;
L_inst_exec._s3191/L1C1 .functor AND, L_inst_exec._s3191/L0C4, L_inst_exec._s3191/L0C5, L_inst_exec._s3191/L0C6, L_inst_exec._s3191/L0C7;
L_inst_exec._s3191/L1C2 .functor AND, L_inst_exec._s3191/L0C8, L_inst_exec._s3191/L0C9, C<1>, C<1>;
L_inst_exec._s3191 .functor AND, L_inst_exec._s3191/L1C0, L_inst_exec._s3191/L1C1, L_inst_exec._s3191/L1C2, C<1>;
L_inst_exec._s3195/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3195/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3195/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3195/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3195/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3195/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3195/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3195/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3195/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3195/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3195/L1C0 .functor AND, L_inst_exec._s3195/L0C0, L_inst_exec._s3195/L0C1, L_inst_exec._s3195/L0C2, L_inst_exec._s3195/L0C3;
L_inst_exec._s3195/L1C1 .functor AND, L_inst_exec._s3195/L0C4, L_inst_exec._s3195/L0C5, L_inst_exec._s3195/L0C6, L_inst_exec._s3195/L0C7;
L_inst_exec._s3195/L1C2 .functor AND, L_inst_exec._s3195/L0C8, L_inst_exec._s3195/L0C9, C<1>, C<1>;
L_inst_exec._s3195 .functor AND, L_inst_exec._s3195/L1C0, L_inst_exec._s3195/L1C1, L_inst_exec._s3195/L1C2, C<1>;
L_inst_exec._s3199/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3199/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3199/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3199/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3199/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3199/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3199/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3199/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3199/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3199/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3199/L1C0 .functor AND, L_inst_exec._s3199/L0C0, L_inst_exec._s3199/L0C1, L_inst_exec._s3199/L0C2, L_inst_exec._s3199/L0C3;
L_inst_exec._s3199/L1C1 .functor AND, L_inst_exec._s3199/L0C4, L_inst_exec._s3199/L0C5, L_inst_exec._s3199/L0C6, L_inst_exec._s3199/L0C7;
L_inst_exec._s3199/L1C2 .functor AND, L_inst_exec._s3199/L0C8, L_inst_exec._s3199/L0C9, C<1>, C<1>;
L_inst_exec._s3199 .functor AND, L_inst_exec._s3199/L1C0, L_inst_exec._s3199/L1C1, L_inst_exec._s3199/L1C2, C<1>;
L_inst_exec._s3204/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3204/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3204/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3204/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3204/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3204/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3204/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3204/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3204/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3204/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3204/L1C0 .functor AND, L_inst_exec._s3204/L0C0, L_inst_exec._s3204/L0C1, L_inst_exec._s3204/L0C2, L_inst_exec._s3204/L0C3;
L_inst_exec._s3204/L1C1 .functor AND, L_inst_exec._s3204/L0C4, L_inst_exec._s3204/L0C5, L_inst_exec._s3204/L0C6, L_inst_exec._s3204/L0C7;
L_inst_exec._s3204/L1C2 .functor AND, L_inst_exec._s3204/L0C8, L_inst_exec._s3204/L0C9, C<1>, C<1>;
L_inst_exec._s3204 .functor AND, L_inst_exec._s3204/L1C0, L_inst_exec._s3204/L1C1, L_inst_exec._s3204/L1C2, C<1>;
L_inst_exec._s3209/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s3209/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s3209/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s3209 .functor AND, L_inst_exec._s3209/L0C0, L_inst_exec._s3209/L0C1, L_inst_exec._s3209/L0C2, C<1>;
L_inst_exec._s3216/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s3216/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s3216/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s3216 .functor AND, L_inst_exec._s3216/L0C0, L_inst_exec._s3216/L0C1, L_inst_exec._s3216/L0C2, C<1>;
L_inst_exec._s3230/L0C0 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s3230/L0C1 .functor XNOR, C<z>, C<0>, C<0>, C<0>;
L_inst_exec._s3230/L0C2 .functor XNOR, C<z>, C<1>, C<0>, C<0>;
L_inst_exec._s3230 .functor AND, L_inst_exec._s3230/L0C0, L_inst_exec._s3230/L0C1, L_inst_exec._s3230/L0C2, C<1>;
L_inst_exec._s3240/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3240/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3240/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3240/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3240/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3240/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3240/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3240/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3240/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3240/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3240/L1C0 .functor AND, L_inst_exec._s3240/L0C0, L_inst_exec._s3240/L0C1, L_inst_exec._s3240/L0C2, L_inst_exec._s3240/L0C3;
L_inst_exec._s3240/L1C1 .functor AND, L_inst_exec._s3240/L0C4, L_inst_exec._s3240/L0C5, L_inst_exec._s3240/L0C6, L_inst_exec._s3240/L0C7;
L_inst_exec._s3240/L1C2 .functor AND, L_inst_exec._s3240/L0C8, L_inst_exec._s3240/L0C9, C<1>, C<1>;
L_inst_exec._s3240 .functor AND, L_inst_exec._s3240/L1C0, L_inst_exec._s3240/L1C1, L_inst_exec._s3240/L1C2, C<1>;
L_inst_exec._s3242/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3242/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3242/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3242/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3242/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3242/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3242/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3242/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3242/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3242/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3242/L1C0 .functor AND, L_inst_exec._s3242/L0C0, L_inst_exec._s3242/L0C1, L_inst_exec._s3242/L0C2, L_inst_exec._s3242/L0C3;
L_inst_exec._s3242/L1C1 .functor AND, L_inst_exec._s3242/L0C4, L_inst_exec._s3242/L0C5, L_inst_exec._s3242/L0C6, L_inst_exec._s3242/L0C7;
L_inst_exec._s3242/L1C2 .functor AND, L_inst_exec._s3242/L0C8, L_inst_exec._s3242/L0C9, C<1>, C<1>;
L_inst_exec._s3242 .functor AND, L_inst_exec._s3242/L1C0, L_inst_exec._s3242/L1C1, L_inst_exec._s3242/L1C2, C<1>;
L_inst_exec._s3246/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3246/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3246/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3246/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3246/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3246/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3246/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3246/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3246/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3246/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3246/L1C0 .functor AND, L_inst_exec._s3246/L0C0, L_inst_exec._s3246/L0C1, L_inst_exec._s3246/L0C2, L_inst_exec._s3246/L0C3;
L_inst_exec._s3246/L1C1 .functor AND, L_inst_exec._s3246/L0C4, L_inst_exec._s3246/L0C5, L_inst_exec._s3246/L0C6, L_inst_exec._s3246/L0C7;
L_inst_exec._s3246/L1C2 .functor AND, L_inst_exec._s3246/L0C8, L_inst_exec._s3246/L0C9, C<1>, C<1>;
L_inst_exec._s3246 .functor AND, L_inst_exec._s3246/L1C0, L_inst_exec._s3246/L1C1, L_inst_exec._s3246/L1C2, C<1>;
L_inst_exec._s3250/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3250/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3250/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3250/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3250/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3250/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3250/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3250/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3250/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3250/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3250/L1C0 .functor AND, L_inst_exec._s3250/L0C0, L_inst_exec._s3250/L0C1, L_inst_exec._s3250/L0C2, L_inst_exec._s3250/L0C3;
L_inst_exec._s3250/L1C1 .functor AND, L_inst_exec._s3250/L0C4, L_inst_exec._s3250/L0C5, L_inst_exec._s3250/L0C6, L_inst_exec._s3250/L0C7;
L_inst_exec._s3250/L1C2 .functor AND, L_inst_exec._s3250/L0C8, L_inst_exec._s3250/L0C9, C<1>, C<1>;
L_inst_exec._s3250 .functor AND, L_inst_exec._s3250/L1C0, L_inst_exec._s3250/L1C1, L_inst_exec._s3250/L1C2, C<1>;
L_inst_exec._s3254/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3254/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3254/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3254/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3254/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3254/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3254/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3254/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3254/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3254/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3254/L1C0 .functor AND, L_inst_exec._s3254/L0C0, L_inst_exec._s3254/L0C1, L_inst_exec._s3254/L0C2, L_inst_exec._s3254/L0C3;
L_inst_exec._s3254/L1C1 .functor AND, L_inst_exec._s3254/L0C4, L_inst_exec._s3254/L0C5, L_inst_exec._s3254/L0C6, L_inst_exec._s3254/L0C7;
L_inst_exec._s3254/L1C2 .functor AND, L_inst_exec._s3254/L0C8, L_inst_exec._s3254/L0C9, C<1>, C<1>;
L_inst_exec._s3254 .functor AND, L_inst_exec._s3254/L1C0, L_inst_exec._s3254/L1C1, L_inst_exec._s3254/L1C2, C<1>;
L_inst_exec._s3258/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3258/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3258/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3258/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3258/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3258/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3258/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3258/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3258/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3258/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3258/L1C0 .functor AND, L_inst_exec._s3258/L0C0, L_inst_exec._s3258/L0C1, L_inst_exec._s3258/L0C2, L_inst_exec._s3258/L0C3;
L_inst_exec._s3258/L1C1 .functor AND, L_inst_exec._s3258/L0C4, L_inst_exec._s3258/L0C5, L_inst_exec._s3258/L0C6, L_inst_exec._s3258/L0C7;
L_inst_exec._s3258/L1C2 .functor AND, L_inst_exec._s3258/L0C8, L_inst_exec._s3258/L0C9, C<1>, C<1>;
L_inst_exec._s3258 .functor AND, L_inst_exec._s3258/L1C0, L_inst_exec._s3258/L1C1, L_inst_exec._s3258/L1C2, C<1>;
L_inst_exec._s3262/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3262/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3262/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3262/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3262/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3262/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3262/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3262/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3262/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3262/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3262/L1C0 .functor AND, L_inst_exec._s3262/L0C0, L_inst_exec._s3262/L0C1, L_inst_exec._s3262/L0C2, L_inst_exec._s3262/L0C3;
L_inst_exec._s3262/L1C1 .functor AND, L_inst_exec._s3262/L0C4, L_inst_exec._s3262/L0C5, L_inst_exec._s3262/L0C6, L_inst_exec._s3262/L0C7;
L_inst_exec._s3262/L1C2 .functor AND, L_inst_exec._s3262/L0C8, L_inst_exec._s3262/L0C9, C<1>, C<1>;
L_inst_exec._s3262 .functor AND, L_inst_exec._s3262/L1C0, L_inst_exec._s3262/L1C1, L_inst_exec._s3262/L1C2, C<1>;
L_inst_exec._s3266/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3266/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3266/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3266/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3266/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3266/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3266/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3266/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3266/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3266/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3266/L1C0 .functor AND, L_inst_exec._s3266/L0C0, L_inst_exec._s3266/L0C1, L_inst_exec._s3266/L0C2, L_inst_exec._s3266/L0C3;
L_inst_exec._s3266/L1C1 .functor AND, L_inst_exec._s3266/L0C4, L_inst_exec._s3266/L0C5, L_inst_exec._s3266/L0C6, L_inst_exec._s3266/L0C7;
L_inst_exec._s3266/L1C2 .functor AND, L_inst_exec._s3266/L0C8, L_inst_exec._s3266/L0C9, C<1>, C<1>;
L_inst_exec._s3266 .functor AND, L_inst_exec._s3266/L1C0, L_inst_exec._s3266/L1C1, L_inst_exec._s3266/L1C2, C<1>;
L_inst_exec._s3270/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3270/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3270/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3270/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3270/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3270/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3270/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3270/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3270/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3270/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3270/L1C0 .functor AND, L_inst_exec._s3270/L0C0, L_inst_exec._s3270/L0C1, L_inst_exec._s3270/L0C2, L_inst_exec._s3270/L0C3;
L_inst_exec._s3270/L1C1 .functor AND, L_inst_exec._s3270/L0C4, L_inst_exec._s3270/L0C5, L_inst_exec._s3270/L0C6, L_inst_exec._s3270/L0C7;
L_inst_exec._s3270/L1C2 .functor AND, L_inst_exec._s3270/L0C8, L_inst_exec._s3270/L0C9, C<1>, C<1>;
L_inst_exec._s3270 .functor AND, L_inst_exec._s3270/L1C0, L_inst_exec._s3270/L1C1, L_inst_exec._s3270/L1C2, C<1>;
L_inst_exec._s3274/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3274/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3274/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3274/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3274/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3274/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3274/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3274/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3274/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3274/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3274/L1C0 .functor AND, L_inst_exec._s3274/L0C0, L_inst_exec._s3274/L0C1, L_inst_exec._s3274/L0C2, L_inst_exec._s3274/L0C3;
L_inst_exec._s3274/L1C1 .functor AND, L_inst_exec._s3274/L0C4, L_inst_exec._s3274/L0C5, L_inst_exec._s3274/L0C6, L_inst_exec._s3274/L0C7;
L_inst_exec._s3274/L1C2 .functor AND, L_inst_exec._s3274/L0C8, L_inst_exec._s3274/L0C9, C<1>, C<1>;
L_inst_exec._s3274 .functor AND, L_inst_exec._s3274/L1C0, L_inst_exec._s3274/L1C1, L_inst_exec._s3274/L1C2, C<1>;
L_inst_exec._s3278/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3278/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3278/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3278/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3278/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3278/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3278/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3278/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3278/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3278/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3278/L1C0 .functor AND, L_inst_exec._s3278/L0C0, L_inst_exec._s3278/L0C1, L_inst_exec._s3278/L0C2, L_inst_exec._s3278/L0C3;
L_inst_exec._s3278/L1C1 .functor AND, L_inst_exec._s3278/L0C4, L_inst_exec._s3278/L0C5, L_inst_exec._s3278/L0C6, L_inst_exec._s3278/L0C7;
L_inst_exec._s3278/L1C2 .functor AND, L_inst_exec._s3278/L0C8, L_inst_exec._s3278/L0C9, C<1>, C<1>;
L_inst_exec._s3278 .functor AND, L_inst_exec._s3278/L1C0, L_inst_exec._s3278/L1C1, L_inst_exec._s3278/L1C2, C<1>;
L_inst_exec._s3282/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3282/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3282/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3282/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3282/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3282/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3282/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3282/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3282/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3282/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3282/L1C0 .functor AND, L_inst_exec._s3282/L0C0, L_inst_exec._s3282/L0C1, L_inst_exec._s3282/L0C2, L_inst_exec._s3282/L0C3;
L_inst_exec._s3282/L1C1 .functor AND, L_inst_exec._s3282/L0C4, L_inst_exec._s3282/L0C5, L_inst_exec._s3282/L0C6, L_inst_exec._s3282/L0C7;
L_inst_exec._s3282/L1C2 .functor AND, L_inst_exec._s3282/L0C8, L_inst_exec._s3282/L0C9, C<1>, C<1>;
L_inst_exec._s3282 .functor AND, L_inst_exec._s3282/L1C0, L_inst_exec._s3282/L1C1, L_inst_exec._s3282/L1C2, C<1>;
L_inst_exec._s3286/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3286/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3286/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3286/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3286/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3286/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3286/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3286/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3286/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3286/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3286/L1C0 .functor AND, L_inst_exec._s3286/L0C0, L_inst_exec._s3286/L0C1, L_inst_exec._s3286/L0C2, L_inst_exec._s3286/L0C3;
L_inst_exec._s3286/L1C1 .functor AND, L_inst_exec._s3286/L0C4, L_inst_exec._s3286/L0C5, L_inst_exec._s3286/L0C6, L_inst_exec._s3286/L0C7;
L_inst_exec._s3286/L1C2 .functor AND, L_inst_exec._s3286/L0C8, L_inst_exec._s3286/L0C9, C<1>, C<1>;
L_inst_exec._s3286 .functor AND, L_inst_exec._s3286/L1C0, L_inst_exec._s3286/L1C1, L_inst_exec._s3286/L1C2, C<1>;
L_inst_exec._s3290/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3290/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3290/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3290/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3290/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3290/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3290/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3290/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3290/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3290/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3290/L1C0 .functor AND, L_inst_exec._s3290/L0C0, L_inst_exec._s3290/L0C1, L_inst_exec._s3290/L0C2, L_inst_exec._s3290/L0C3;
L_inst_exec._s3290/L1C1 .functor AND, L_inst_exec._s3290/L0C4, L_inst_exec._s3290/L0C5, L_inst_exec._s3290/L0C6, L_inst_exec._s3290/L0C7;
L_inst_exec._s3290/L1C2 .functor AND, L_inst_exec._s3290/L0C8, L_inst_exec._s3290/L0C9, C<1>, C<1>;
L_inst_exec._s3290 .functor AND, L_inst_exec._s3290/L1C0, L_inst_exec._s3290/L1C1, L_inst_exec._s3290/L1C2, C<1>;
L_inst_exec._s3294/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3294/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3294/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3294/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3294/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3294/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3294/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3294/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3294/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3294/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3294/L1C0 .functor AND, L_inst_exec._s3294/L0C0, L_inst_exec._s3294/L0C1, L_inst_exec._s3294/L0C2, L_inst_exec._s3294/L0C3;
L_inst_exec._s3294/L1C1 .functor AND, L_inst_exec._s3294/L0C4, L_inst_exec._s3294/L0C5, L_inst_exec._s3294/L0C6, L_inst_exec._s3294/L0C7;
L_inst_exec._s3294/L1C2 .functor AND, L_inst_exec._s3294/L0C8, L_inst_exec._s3294/L0C9, C<1>, C<1>;
L_inst_exec._s3294 .functor AND, L_inst_exec._s3294/L1C0, L_inst_exec._s3294/L1C1, L_inst_exec._s3294/L1C2, C<1>;
L_inst_exec._s3298/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3298/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3298/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3298/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3298/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3298/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3298/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3298/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3298/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3298/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3298/L1C0 .functor AND, L_inst_exec._s3298/L0C0, L_inst_exec._s3298/L0C1, L_inst_exec._s3298/L0C2, L_inst_exec._s3298/L0C3;
L_inst_exec._s3298/L1C1 .functor AND, L_inst_exec._s3298/L0C4, L_inst_exec._s3298/L0C5, L_inst_exec._s3298/L0C6, L_inst_exec._s3298/L0C7;
L_inst_exec._s3298/L1C2 .functor AND, L_inst_exec._s3298/L0C8, L_inst_exec._s3298/L0C9, C<1>, C<1>;
L_inst_exec._s3298 .functor AND, L_inst_exec._s3298/L1C0, L_inst_exec._s3298/L1C1, L_inst_exec._s3298/L1C2, C<1>;
L_inst_exec._s3302/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3302/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3302/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3302/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3302/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3302/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3302/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3302/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3302/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3302/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3302/L1C0 .functor AND, L_inst_exec._s3302/L0C0, L_inst_exec._s3302/L0C1, L_inst_exec._s3302/L0C2, L_inst_exec._s3302/L0C3;
L_inst_exec._s3302/L1C1 .functor AND, L_inst_exec._s3302/L0C4, L_inst_exec._s3302/L0C5, L_inst_exec._s3302/L0C6, L_inst_exec._s3302/L0C7;
L_inst_exec._s3302/L1C2 .functor AND, L_inst_exec._s3302/L0C8, L_inst_exec._s3302/L0C9, C<1>, C<1>;
L_inst_exec._s3302 .functor AND, L_inst_exec._s3302/L1C0, L_inst_exec._s3302/L1C1, L_inst_exec._s3302/L1C2, C<1>;
L_inst_exec._s3306/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3306/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3306/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3306/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3306/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3306/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3306/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3306/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3306/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3306/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3306/L1C0 .functor AND, L_inst_exec._s3306/L0C0, L_inst_exec._s3306/L0C1, L_inst_exec._s3306/L0C2, L_inst_exec._s3306/L0C3;
L_inst_exec._s3306/L1C1 .functor AND, L_inst_exec._s3306/L0C4, L_inst_exec._s3306/L0C5, L_inst_exec._s3306/L0C6, L_inst_exec._s3306/L0C7;
L_inst_exec._s3306/L1C2 .functor AND, L_inst_exec._s3306/L0C8, L_inst_exec._s3306/L0C9, C<1>, C<1>;
L_inst_exec._s3306 .functor AND, L_inst_exec._s3306/L1C0, L_inst_exec._s3306/L1C1, L_inst_exec._s3306/L1C2, C<1>;
L_inst_exec._s3310/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3310/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3310/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3310/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3310/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3310/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3310/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3310/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3310/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3310/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3310/L1C0 .functor AND, L_inst_exec._s3310/L0C0, L_inst_exec._s3310/L0C1, L_inst_exec._s3310/L0C2, L_inst_exec._s3310/L0C3;
L_inst_exec._s3310/L1C1 .functor AND, L_inst_exec._s3310/L0C4, L_inst_exec._s3310/L0C5, L_inst_exec._s3310/L0C6, L_inst_exec._s3310/L0C7;
L_inst_exec._s3310/L1C2 .functor AND, L_inst_exec._s3310/L0C8, L_inst_exec._s3310/L0C9, C<1>, C<1>;
L_inst_exec._s3310 .functor AND, L_inst_exec._s3310/L1C0, L_inst_exec._s3310/L1C1, L_inst_exec._s3310/L1C2, C<1>;
L_inst_exec._s3314/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3314/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3314/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3314/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3314/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3314/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3314/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3314/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3314/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3314/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3314/L1C0 .functor AND, L_inst_exec._s3314/L0C0, L_inst_exec._s3314/L0C1, L_inst_exec._s3314/L0C2, L_inst_exec._s3314/L0C3;
L_inst_exec._s3314/L1C1 .functor AND, L_inst_exec._s3314/L0C4, L_inst_exec._s3314/L0C5, L_inst_exec._s3314/L0C6, L_inst_exec._s3314/L0C7;
L_inst_exec._s3314/L1C2 .functor AND, L_inst_exec._s3314/L0C8, L_inst_exec._s3314/L0C9, C<1>, C<1>;
L_inst_exec._s3314 .functor AND, L_inst_exec._s3314/L1C0, L_inst_exec._s3314/L1C1, L_inst_exec._s3314/L1C2, C<1>;
L_inst_exec._s3318/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3318/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3318/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3318/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3318/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3318/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3318/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3318/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3318/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3318/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3318/L1C0 .functor AND, L_inst_exec._s3318/L0C0, L_inst_exec._s3318/L0C1, L_inst_exec._s3318/L0C2, L_inst_exec._s3318/L0C3;
L_inst_exec._s3318/L1C1 .functor AND, L_inst_exec._s3318/L0C4, L_inst_exec._s3318/L0C5, L_inst_exec._s3318/L0C6, L_inst_exec._s3318/L0C7;
L_inst_exec._s3318/L1C2 .functor AND, L_inst_exec._s3318/L0C8, L_inst_exec._s3318/L0C9, C<1>, C<1>;
L_inst_exec._s3318 .functor AND, L_inst_exec._s3318/L1C0, L_inst_exec._s3318/L1C1, L_inst_exec._s3318/L1C2, C<1>;
L_inst_exec._s3322/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3322/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3322/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3322/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3322/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3322/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3322/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3322/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3322/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3322/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3322/L1C0 .functor AND, L_inst_exec._s3322/L0C0, L_inst_exec._s3322/L0C1, L_inst_exec._s3322/L0C2, L_inst_exec._s3322/L0C3;
L_inst_exec._s3322/L1C1 .functor AND, L_inst_exec._s3322/L0C4, L_inst_exec._s3322/L0C5, L_inst_exec._s3322/L0C6, L_inst_exec._s3322/L0C7;
L_inst_exec._s3322/L1C2 .functor AND, L_inst_exec._s3322/L0C8, L_inst_exec._s3322/L0C9, C<1>, C<1>;
L_inst_exec._s3322 .functor AND, L_inst_exec._s3322/L1C0, L_inst_exec._s3322/L1C1, L_inst_exec._s3322/L1C2, C<1>;
L_inst_exec._s3326/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3326/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3326/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3326/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3326/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3326/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3326/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3326/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3326/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3326/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3326/L1C0 .functor AND, L_inst_exec._s3326/L0C0, L_inst_exec._s3326/L0C1, L_inst_exec._s3326/L0C2, L_inst_exec._s3326/L0C3;
L_inst_exec._s3326/L1C1 .functor AND, L_inst_exec._s3326/L0C4, L_inst_exec._s3326/L0C5, L_inst_exec._s3326/L0C6, L_inst_exec._s3326/L0C7;
L_inst_exec._s3326/L1C2 .functor AND, L_inst_exec._s3326/L0C8, L_inst_exec._s3326/L0C9, C<1>, C<1>;
L_inst_exec._s3326 .functor AND, L_inst_exec._s3326/L1C0, L_inst_exec._s3326/L1C1, L_inst_exec._s3326/L1C2, C<1>;
L_inst_exec._s3330/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3330/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3330/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3330/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3330/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3330/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3330/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3330/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3330/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3330/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3330/L1C0 .functor AND, L_inst_exec._s3330/L0C0, L_inst_exec._s3330/L0C1, L_inst_exec._s3330/L0C2, L_inst_exec._s3330/L0C3;
L_inst_exec._s3330/L1C1 .functor AND, L_inst_exec._s3330/L0C4, L_inst_exec._s3330/L0C5, L_inst_exec._s3330/L0C6, L_inst_exec._s3330/L0C7;
L_inst_exec._s3330/L1C2 .functor AND, L_inst_exec._s3330/L0C8, L_inst_exec._s3330/L0C9, C<1>, C<1>;
L_inst_exec._s3330 .functor AND, L_inst_exec._s3330/L1C0, L_inst_exec._s3330/L1C1, L_inst_exec._s3330/L1C2, C<1>;
L_inst_exec._s3334/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3334/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3334/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3334/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3334/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3334/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3334/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3334/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3334/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3334/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3334/L1C0 .functor AND, L_inst_exec._s3334/L0C0, L_inst_exec._s3334/L0C1, L_inst_exec._s3334/L0C2, L_inst_exec._s3334/L0C3;
L_inst_exec._s3334/L1C1 .functor AND, L_inst_exec._s3334/L0C4, L_inst_exec._s3334/L0C5, L_inst_exec._s3334/L0C6, L_inst_exec._s3334/L0C7;
L_inst_exec._s3334/L1C2 .functor AND, L_inst_exec._s3334/L0C8, L_inst_exec._s3334/L0C9, C<1>, C<1>;
L_inst_exec._s3334 .functor AND, L_inst_exec._s3334/L1C0, L_inst_exec._s3334/L1C1, L_inst_exec._s3334/L1C2, C<1>;
L_inst_exec._s3338/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3338/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3338/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3338/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3338/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3338/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3338/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3338/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3338/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3338/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3338/L1C0 .functor AND, L_inst_exec._s3338/L0C0, L_inst_exec._s3338/L0C1, L_inst_exec._s3338/L0C2, L_inst_exec._s3338/L0C3;
L_inst_exec._s3338/L1C1 .functor AND, L_inst_exec._s3338/L0C4, L_inst_exec._s3338/L0C5, L_inst_exec._s3338/L0C6, L_inst_exec._s3338/L0C7;
L_inst_exec._s3338/L1C2 .functor AND, L_inst_exec._s3338/L0C8, L_inst_exec._s3338/L0C9, C<1>, C<1>;
L_inst_exec._s3338 .functor AND, L_inst_exec._s3338/L1C0, L_inst_exec._s3338/L1C1, L_inst_exec._s3338/L1C2, C<1>;
L_inst_exec._s3342/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3342/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3342/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3342/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3342/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3342/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3342/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3342/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3342/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3342/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3342/L1C0 .functor AND, L_inst_exec._s3342/L0C0, L_inst_exec._s3342/L0C1, L_inst_exec._s3342/L0C2, L_inst_exec._s3342/L0C3;
L_inst_exec._s3342/L1C1 .functor AND, L_inst_exec._s3342/L0C4, L_inst_exec._s3342/L0C5, L_inst_exec._s3342/L0C6, L_inst_exec._s3342/L0C7;
L_inst_exec._s3342/L1C2 .functor AND, L_inst_exec._s3342/L0C8, L_inst_exec._s3342/L0C9, C<1>, C<1>;
L_inst_exec._s3342 .functor AND, L_inst_exec._s3342/L1C0, L_inst_exec._s3342/L1C1, L_inst_exec._s3342/L1C2, C<1>;
L_inst_exec._s3346/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3346/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3346/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3346/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3346/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3346/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3346/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3346/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3346/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3346/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3346/L1C0 .functor AND, L_inst_exec._s3346/L0C0, L_inst_exec._s3346/L0C1, L_inst_exec._s3346/L0C2, L_inst_exec._s3346/L0C3;
L_inst_exec._s3346/L1C1 .functor AND, L_inst_exec._s3346/L0C4, L_inst_exec._s3346/L0C5, L_inst_exec._s3346/L0C6, L_inst_exec._s3346/L0C7;
L_inst_exec._s3346/L1C2 .functor AND, L_inst_exec._s3346/L0C8, L_inst_exec._s3346/L0C9, C<1>, C<1>;
L_inst_exec._s3346 .functor AND, L_inst_exec._s3346/L1C0, L_inst_exec._s3346/L1C1, L_inst_exec._s3346/L1C2, C<1>;
L_inst_exec._s3350/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3350/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3350/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3350/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3350/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3350/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3350/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3350/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3350/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3350/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3350/L1C0 .functor AND, L_inst_exec._s3350/L0C0, L_inst_exec._s3350/L0C1, L_inst_exec._s3350/L0C2, L_inst_exec._s3350/L0C3;
L_inst_exec._s3350/L1C1 .functor AND, L_inst_exec._s3350/L0C4, L_inst_exec._s3350/L0C5, L_inst_exec._s3350/L0C6, L_inst_exec._s3350/L0C7;
L_inst_exec._s3350/L1C2 .functor AND, L_inst_exec._s3350/L0C8, L_inst_exec._s3350/L0C9, C<1>, C<1>;
L_inst_exec._s3350 .functor AND, L_inst_exec._s3350/L1C0, L_inst_exec._s3350/L1C1, L_inst_exec._s3350/L1C2, C<1>;
L_inst_exec._s3354/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3354/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3354/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3354/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3354/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3354/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3354/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3354/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3354/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3354/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3354/L1C0 .functor AND, L_inst_exec._s3354/L0C0, L_inst_exec._s3354/L0C1, L_inst_exec._s3354/L0C2, L_inst_exec._s3354/L0C3;
L_inst_exec._s3354/L1C1 .functor AND, L_inst_exec._s3354/L0C4, L_inst_exec._s3354/L0C5, L_inst_exec._s3354/L0C6, L_inst_exec._s3354/L0C7;
L_inst_exec._s3354/L1C2 .functor AND, L_inst_exec._s3354/L0C8, L_inst_exec._s3354/L0C9, C<1>, C<1>;
L_inst_exec._s3354 .functor AND, L_inst_exec._s3354/L1C0, L_inst_exec._s3354/L1C1, L_inst_exec._s3354/L1C2, C<1>;
L_inst_exec._s3358/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3358/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3358/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3358/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3358/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3358/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3358/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3358/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3358/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3358/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3358/L1C0 .functor AND, L_inst_exec._s3358/L0C0, L_inst_exec._s3358/L0C1, L_inst_exec._s3358/L0C2, L_inst_exec._s3358/L0C3;
L_inst_exec._s3358/L1C1 .functor AND, L_inst_exec._s3358/L0C4, L_inst_exec._s3358/L0C5, L_inst_exec._s3358/L0C6, L_inst_exec._s3358/L0C7;
L_inst_exec._s3358/L1C2 .functor AND, L_inst_exec._s3358/L0C8, L_inst_exec._s3358/L0C9, C<1>, C<1>;
L_inst_exec._s3358 .functor AND, L_inst_exec._s3358/L1C0, L_inst_exec._s3358/L1C1, L_inst_exec._s3358/L1C2, C<1>;
L_inst_exec._s3362/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3362/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3362/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3362/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3362/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3362/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3362/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3362/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3362/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3362/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3362/L1C0 .functor AND, L_inst_exec._s3362/L0C0, L_inst_exec._s3362/L0C1, L_inst_exec._s3362/L0C2, L_inst_exec._s3362/L0C3;
L_inst_exec._s3362/L1C1 .functor AND, L_inst_exec._s3362/L0C4, L_inst_exec._s3362/L0C5, L_inst_exec._s3362/L0C6, L_inst_exec._s3362/L0C7;
L_inst_exec._s3362/L1C2 .functor AND, L_inst_exec._s3362/L0C8, L_inst_exec._s3362/L0C9, C<1>, C<1>;
L_inst_exec._s3362 .functor AND, L_inst_exec._s3362/L1C0, L_inst_exec._s3362/L1C1, L_inst_exec._s3362/L1C2, C<1>;
L_inst_exec._s3366/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3366/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3366/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3366/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3366/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3366/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3366/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3366/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3366/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3366/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3366/L1C0 .functor AND, L_inst_exec._s3366/L0C0, L_inst_exec._s3366/L0C1, L_inst_exec._s3366/L0C2, L_inst_exec._s3366/L0C3;
L_inst_exec._s3366/L1C1 .functor AND, L_inst_exec._s3366/L0C4, L_inst_exec._s3366/L0C5, L_inst_exec._s3366/L0C6, L_inst_exec._s3366/L0C7;
L_inst_exec._s3366/L1C2 .functor AND, L_inst_exec._s3366/L0C8, L_inst_exec._s3366/L0C9, C<1>, C<1>;
L_inst_exec._s3366 .functor AND, L_inst_exec._s3366/L1C0, L_inst_exec._s3366/L1C1, L_inst_exec._s3366/L1C2, C<1>;
L_inst_exec._s3370/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3370/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3370/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3370/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3370/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3370/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3370/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3370/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3370/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3370/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3370/L1C0 .functor AND, L_inst_exec._s3370/L0C0, L_inst_exec._s3370/L0C1, L_inst_exec._s3370/L0C2, L_inst_exec._s3370/L0C3;
L_inst_exec._s3370/L1C1 .functor AND, L_inst_exec._s3370/L0C4, L_inst_exec._s3370/L0C5, L_inst_exec._s3370/L0C6, L_inst_exec._s3370/L0C7;
L_inst_exec._s3370/L1C2 .functor AND, L_inst_exec._s3370/L0C8, L_inst_exec._s3370/L0C9, C<1>, C<1>;
L_inst_exec._s3370 .functor AND, L_inst_exec._s3370/L1C0, L_inst_exec._s3370/L1C1, L_inst_exec._s3370/L1C2, C<1>;
L_inst_exec._s3374/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3374/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3374/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3374/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3374/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3374/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3374/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3374/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3374/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3374/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3374/L1C0 .functor AND, L_inst_exec._s3374/L0C0, L_inst_exec._s3374/L0C1, L_inst_exec._s3374/L0C2, L_inst_exec._s3374/L0C3;
L_inst_exec._s3374/L1C1 .functor AND, L_inst_exec._s3374/L0C4, L_inst_exec._s3374/L0C5, L_inst_exec._s3374/L0C6, L_inst_exec._s3374/L0C7;
L_inst_exec._s3374/L1C2 .functor AND, L_inst_exec._s3374/L0C8, L_inst_exec._s3374/L0C9, C<1>, C<1>;
L_inst_exec._s3374 .functor AND, L_inst_exec._s3374/L1C0, L_inst_exec._s3374/L1C1, L_inst_exec._s3374/L1C2, C<1>;
L_inst_exec._s3378/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3378/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3378/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3378/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3378/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3378/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3378/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3378/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3378/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3378/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3378/L1C0 .functor AND, L_inst_exec._s3378/L0C0, L_inst_exec._s3378/L0C1, L_inst_exec._s3378/L0C2, L_inst_exec._s3378/L0C3;
L_inst_exec._s3378/L1C1 .functor AND, L_inst_exec._s3378/L0C4, L_inst_exec._s3378/L0C5, L_inst_exec._s3378/L0C6, L_inst_exec._s3378/L0C7;
L_inst_exec._s3378/L1C2 .functor AND, L_inst_exec._s3378/L0C8, L_inst_exec._s3378/L0C9, C<1>, C<1>;
L_inst_exec._s3378 .functor AND, L_inst_exec._s3378/L1C0, L_inst_exec._s3378/L1C1, L_inst_exec._s3378/L1C2, C<1>;
L_inst_exec._s3382/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3382/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3382/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3382/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3382/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3382/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3382/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3382/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3382/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3382/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3382/L1C0 .functor AND, L_inst_exec._s3382/L0C0, L_inst_exec._s3382/L0C1, L_inst_exec._s3382/L0C2, L_inst_exec._s3382/L0C3;
L_inst_exec._s3382/L1C1 .functor AND, L_inst_exec._s3382/L0C4, L_inst_exec._s3382/L0C5, L_inst_exec._s3382/L0C6, L_inst_exec._s3382/L0C7;
L_inst_exec._s3382/L1C2 .functor AND, L_inst_exec._s3382/L0C8, L_inst_exec._s3382/L0C9, C<1>, C<1>;
L_inst_exec._s3382 .functor AND, L_inst_exec._s3382/L1C0, L_inst_exec._s3382/L1C1, L_inst_exec._s3382/L1C2, C<1>;
L_inst_exec._s3386/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3386/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3386/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3386/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3386/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3386/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3386/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3386/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3386/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3386/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3386/L1C0 .functor AND, L_inst_exec._s3386/L0C0, L_inst_exec._s3386/L0C1, L_inst_exec._s3386/L0C2, L_inst_exec._s3386/L0C3;
L_inst_exec._s3386/L1C1 .functor AND, L_inst_exec._s3386/L0C4, L_inst_exec._s3386/L0C5, L_inst_exec._s3386/L0C6, L_inst_exec._s3386/L0C7;
L_inst_exec._s3386/L1C2 .functor AND, L_inst_exec._s3386/L0C8, L_inst_exec._s3386/L0C9, C<1>, C<1>;
L_inst_exec._s3386 .functor AND, L_inst_exec._s3386/L1C0, L_inst_exec._s3386/L1C1, L_inst_exec._s3386/L1C2, C<1>;
L_inst_exec._s3390/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3390/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3390/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3390/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3390/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3390/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3390/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3390/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3390/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3390/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3390/L1C0 .functor AND, L_inst_exec._s3390/L0C0, L_inst_exec._s3390/L0C1, L_inst_exec._s3390/L0C2, L_inst_exec._s3390/L0C3;
L_inst_exec._s3390/L1C1 .functor AND, L_inst_exec._s3390/L0C4, L_inst_exec._s3390/L0C5, L_inst_exec._s3390/L0C6, L_inst_exec._s3390/L0C7;
L_inst_exec._s3390/L1C2 .functor AND, L_inst_exec._s3390/L0C8, L_inst_exec._s3390/L0C9, C<1>, C<1>;
L_inst_exec._s3390 .functor AND, L_inst_exec._s3390/L1C0, L_inst_exec._s3390/L1C1, L_inst_exec._s3390/L1C2, C<1>;
L_inst_exec._s3394/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3394/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3394/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3394/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3394/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3394/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3394/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3394/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3394/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3394/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3394/L1C0 .functor AND, L_inst_exec._s3394/L0C0, L_inst_exec._s3394/L0C1, L_inst_exec._s3394/L0C2, L_inst_exec._s3394/L0C3;
L_inst_exec._s3394/L1C1 .functor AND, L_inst_exec._s3394/L0C4, L_inst_exec._s3394/L0C5, L_inst_exec._s3394/L0C6, L_inst_exec._s3394/L0C7;
L_inst_exec._s3394/L1C2 .functor AND, L_inst_exec._s3394/L0C8, L_inst_exec._s3394/L0C9, C<1>, C<1>;
L_inst_exec._s3394 .functor AND, L_inst_exec._s3394/L1C0, L_inst_exec._s3394/L1C1, L_inst_exec._s3394/L1C2, C<1>;
L_inst_exec._s3398/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3398/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3398/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3398/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3398/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3398/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3398/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3398/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3398/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3398/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3398/L1C0 .functor AND, L_inst_exec._s3398/L0C0, L_inst_exec._s3398/L0C1, L_inst_exec._s3398/L0C2, L_inst_exec._s3398/L0C3;
L_inst_exec._s3398/L1C1 .functor AND, L_inst_exec._s3398/L0C4, L_inst_exec._s3398/L0C5, L_inst_exec._s3398/L0C6, L_inst_exec._s3398/L0C7;
L_inst_exec._s3398/L1C2 .functor AND, L_inst_exec._s3398/L0C8, L_inst_exec._s3398/L0C9, C<1>, C<1>;
L_inst_exec._s3398 .functor AND, L_inst_exec._s3398/L1C0, L_inst_exec._s3398/L1C1, L_inst_exec._s3398/L1C2, C<1>;
L_inst_exec._s3403/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3403/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3403/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3403/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3403/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3403/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3403/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3403/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3403/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3403/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3403/L1C0 .functor AND, L_inst_exec._s3403/L0C0, L_inst_exec._s3403/L0C1, L_inst_exec._s3403/L0C2, L_inst_exec._s3403/L0C3;
L_inst_exec._s3403/L1C1 .functor AND, L_inst_exec._s3403/L0C4, L_inst_exec._s3403/L0C5, L_inst_exec._s3403/L0C6, L_inst_exec._s3403/L0C7;
L_inst_exec._s3403/L1C2 .functor AND, L_inst_exec._s3403/L0C8, L_inst_exec._s3403/L0C9, C<1>, C<1>;
L_inst_exec._s3403 .functor AND, L_inst_exec._s3403/L1C0, L_inst_exec._s3403/L1C1, L_inst_exec._s3403/L1C2, C<1>;
L_inst_exec._s3407/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3407/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3407/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3407/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3407/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3407/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3407/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3407/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3407/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3407/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3407/L1C0 .functor AND, L_inst_exec._s3407/L0C0, L_inst_exec._s3407/L0C1, L_inst_exec._s3407/L0C2, L_inst_exec._s3407/L0C3;
L_inst_exec._s3407/L1C1 .functor AND, L_inst_exec._s3407/L0C4, L_inst_exec._s3407/L0C5, L_inst_exec._s3407/L0C6, L_inst_exec._s3407/L0C7;
L_inst_exec._s3407/L1C2 .functor AND, L_inst_exec._s3407/L0C8, L_inst_exec._s3407/L0C9, C<1>, C<1>;
L_inst_exec._s3407 .functor AND, L_inst_exec._s3407/L1C0, L_inst_exec._s3407/L1C1, L_inst_exec._s3407/L1C2, C<1>;
L_inst_exec._s3411/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3411/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3411/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3411/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3411/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3411/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3411/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3411/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3411/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3411/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3411/L1C0 .functor AND, L_inst_exec._s3411/L0C0, L_inst_exec._s3411/L0C1, L_inst_exec._s3411/L0C2, L_inst_exec._s3411/L0C3;
L_inst_exec._s3411/L1C1 .functor AND, L_inst_exec._s3411/L0C4, L_inst_exec._s3411/L0C5, L_inst_exec._s3411/L0C6, L_inst_exec._s3411/L0C7;
L_inst_exec._s3411/L1C2 .functor AND, L_inst_exec._s3411/L0C8, L_inst_exec._s3411/L0C9, C<1>, C<1>;
L_inst_exec._s3411 .functor AND, L_inst_exec._s3411/L1C0, L_inst_exec._s3411/L1C1, L_inst_exec._s3411/L1C2, C<1>;
L_inst_exec._s3415/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3415/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3415/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3415/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3415/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3415/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3415/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3415/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3415/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3415/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3415/L1C0 .functor AND, L_inst_exec._s3415/L0C0, L_inst_exec._s3415/L0C1, L_inst_exec._s3415/L0C2, L_inst_exec._s3415/L0C3;
L_inst_exec._s3415/L1C1 .functor AND, L_inst_exec._s3415/L0C4, L_inst_exec._s3415/L0C5, L_inst_exec._s3415/L0C6, L_inst_exec._s3415/L0C7;
L_inst_exec._s3415/L1C2 .functor AND, L_inst_exec._s3415/L0C8, L_inst_exec._s3415/L0C9, C<1>, C<1>;
L_inst_exec._s3415 .functor AND, L_inst_exec._s3415/L1C0, L_inst_exec._s3415/L1C1, L_inst_exec._s3415/L1C2, C<1>;
L_inst_exec._s3419/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3419/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3419/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3419/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3419/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3419/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3419/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3419/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3419/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3419/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3419/L1C0 .functor AND, L_inst_exec._s3419/L0C0, L_inst_exec._s3419/L0C1, L_inst_exec._s3419/L0C2, L_inst_exec._s3419/L0C3;
L_inst_exec._s3419/L1C1 .functor AND, L_inst_exec._s3419/L0C4, L_inst_exec._s3419/L0C5, L_inst_exec._s3419/L0C6, L_inst_exec._s3419/L0C7;
L_inst_exec._s3419/L1C2 .functor AND, L_inst_exec._s3419/L0C8, L_inst_exec._s3419/L0C9, C<1>, C<1>;
L_inst_exec._s3419 .functor AND, L_inst_exec._s3419/L1C0, L_inst_exec._s3419/L1C1, L_inst_exec._s3419/L1C2, C<1>;
L_inst_exec._s3424/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3424/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3424/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3424/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3424/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3424/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3424/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3424/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3424/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3424/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3424/L1C0 .functor AND, L_inst_exec._s3424/L0C0, L_inst_exec._s3424/L0C1, L_inst_exec._s3424/L0C2, L_inst_exec._s3424/L0C3;
L_inst_exec._s3424/L1C1 .functor AND, L_inst_exec._s3424/L0C4, L_inst_exec._s3424/L0C5, L_inst_exec._s3424/L0C6, L_inst_exec._s3424/L0C7;
L_inst_exec._s3424/L1C2 .functor AND, L_inst_exec._s3424/L0C8, L_inst_exec._s3424/L0C9, C<1>, C<1>;
L_inst_exec._s3424 .functor AND, L_inst_exec._s3424/L1C0, L_inst_exec._s3424/L1C1, L_inst_exec._s3424/L1C2, C<1>;
L_inst_exec._s3430/L0C0 .functor XNOR, C<z>, C<z>, C<0>, C<0>;
L_inst_exec._s3430/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3430/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3430/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3430/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3430/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3430/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3430/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3430/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3430/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3430/L1C0 .functor AND, L_inst_exec._s3430/L0C0, L_inst_exec._s3430/L0C1, L_inst_exec._s3430/L0C2, L_inst_exec._s3430/L0C3;
L_inst_exec._s3430/L1C1 .functor AND, L_inst_exec._s3430/L0C4, L_inst_exec._s3430/L0C5, L_inst_exec._s3430/L0C6, L_inst_exec._s3430/L0C7;
L_inst_exec._s3430/L1C2 .functor AND, L_inst_exec._s3430/L0C8, L_inst_exec._s3430/L0C9, C<1>, C<1>;
L_inst_exec._s3430 .functor AND, L_inst_exec._s3430/L1C0, L_inst_exec._s3430/L1C1, L_inst_exec._s3430/L1C2, C<1>;
L_inst_exec._s3434/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3434/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3434/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3434/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3434/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3434/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3434/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3434/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3434/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3434/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3434/L1C0 .functor AND, L_inst_exec._s3434/L0C0, L_inst_exec._s3434/L0C1, L_inst_exec._s3434/L0C2, L_inst_exec._s3434/L0C3;
L_inst_exec._s3434/L1C1 .functor AND, L_inst_exec._s3434/L0C4, L_inst_exec._s3434/L0C5, L_inst_exec._s3434/L0C6, L_inst_exec._s3434/L0C7;
L_inst_exec._s3434/L1C2 .functor AND, L_inst_exec._s3434/L0C8, L_inst_exec._s3434/L0C9, C<1>, C<1>;
L_inst_exec._s3434 .functor AND, L_inst_exec._s3434/L1C0, L_inst_exec._s3434/L1C1, L_inst_exec._s3434/L1C2, C<1>;
L_inst_exec._s3438/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3438/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3438/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3438/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3438/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3438/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3438/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3438/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3438/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3438/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3438/L1C0 .functor AND, L_inst_exec._s3438/L0C0, L_inst_exec._s3438/L0C1, L_inst_exec._s3438/L0C2, L_inst_exec._s3438/L0C3;
L_inst_exec._s3438/L1C1 .functor AND, L_inst_exec._s3438/L0C4, L_inst_exec._s3438/L0C5, L_inst_exec._s3438/L0C6, L_inst_exec._s3438/L0C7;
L_inst_exec._s3438/L1C2 .functor AND, L_inst_exec._s3438/L0C8, L_inst_exec._s3438/L0C9, C<1>, C<1>;
L_inst_exec._s3438 .functor AND, L_inst_exec._s3438/L1C0, L_inst_exec._s3438/L1C1, L_inst_exec._s3438/L1C2, C<1>;
L_inst_exec._s3442/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3442/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3442/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3442/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3442/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3442/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3442/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3442/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3442/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3442/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3442/L1C0 .functor AND, L_inst_exec._s3442/L0C0, L_inst_exec._s3442/L0C1, L_inst_exec._s3442/L0C2, L_inst_exec._s3442/L0C3;
L_inst_exec._s3442/L1C1 .functor AND, L_inst_exec._s3442/L0C4, L_inst_exec._s3442/L0C5, L_inst_exec._s3442/L0C6, L_inst_exec._s3442/L0C7;
L_inst_exec._s3442/L1C2 .functor AND, L_inst_exec._s3442/L0C8, L_inst_exec._s3442/L0C9, C<1>, C<1>;
L_inst_exec._s3442 .functor AND, L_inst_exec._s3442/L1C0, L_inst_exec._s3442/L1C1, L_inst_exec._s3442/L1C2, C<1>;
L_inst_exec._s3447/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3447/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3447/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3447/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3447/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3447/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3447/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3447/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3447/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3447/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3447/L1C0 .functor AND, L_inst_exec._s3447/L0C0, L_inst_exec._s3447/L0C1, L_inst_exec._s3447/L0C2, L_inst_exec._s3447/L0C3;
L_inst_exec._s3447/L1C1 .functor AND, L_inst_exec._s3447/L0C4, L_inst_exec._s3447/L0C5, L_inst_exec._s3447/L0C6, L_inst_exec._s3447/L0C7;
L_inst_exec._s3447/L1C2 .functor AND, L_inst_exec._s3447/L0C8, L_inst_exec._s3447/L0C9, C<1>, C<1>;
L_inst_exec._s3447 .functor AND, L_inst_exec._s3447/L1C0, L_inst_exec._s3447/L1C1, L_inst_exec._s3447/L1C2, C<1>;
L_inst_exec._s3451/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3451/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3451/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3451/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3451/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3451/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3451/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3451/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3451/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3451/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3451/L1C0 .functor AND, L_inst_exec._s3451/L0C0, L_inst_exec._s3451/L0C1, L_inst_exec._s3451/L0C2, L_inst_exec._s3451/L0C3;
L_inst_exec._s3451/L1C1 .functor AND, L_inst_exec._s3451/L0C4, L_inst_exec._s3451/L0C5, L_inst_exec._s3451/L0C6, L_inst_exec._s3451/L0C7;
L_inst_exec._s3451/L1C2 .functor AND, L_inst_exec._s3451/L0C8, L_inst_exec._s3451/L0C9, C<1>, C<1>;
L_inst_exec._s3451 .functor AND, L_inst_exec._s3451/L1C0, L_inst_exec._s3451/L1C1, L_inst_exec._s3451/L1C2, C<1>;
L_inst_exec._s3455/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3455/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3455/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3455/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3455/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3455/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3455/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3455/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3455/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3455/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3455/L1C0 .functor AND, L_inst_exec._s3455/L0C0, L_inst_exec._s3455/L0C1, L_inst_exec._s3455/L0C2, L_inst_exec._s3455/L0C3;
L_inst_exec._s3455/L1C1 .functor AND, L_inst_exec._s3455/L0C4, L_inst_exec._s3455/L0C5, L_inst_exec._s3455/L0C6, L_inst_exec._s3455/L0C7;
L_inst_exec._s3455/L1C2 .functor AND, L_inst_exec._s3455/L0C8, L_inst_exec._s3455/L0C9, C<1>, C<1>;
L_inst_exec._s3455 .functor AND, L_inst_exec._s3455/L1C0, L_inst_exec._s3455/L1C1, L_inst_exec._s3455/L1C2, C<1>;
L_inst_exec._s3459/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3459/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3459/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3459/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3459/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3459/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3459/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3459/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3459/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3459/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3459/L1C0 .functor AND, L_inst_exec._s3459/L0C0, L_inst_exec._s3459/L0C1, L_inst_exec._s3459/L0C2, L_inst_exec._s3459/L0C3;
L_inst_exec._s3459/L1C1 .functor AND, L_inst_exec._s3459/L0C4, L_inst_exec._s3459/L0C5, L_inst_exec._s3459/L0C6, L_inst_exec._s3459/L0C7;
L_inst_exec._s3459/L1C2 .functor AND, L_inst_exec._s3459/L0C8, L_inst_exec._s3459/L0C9, C<1>, C<1>;
L_inst_exec._s3459 .functor AND, L_inst_exec._s3459/L1C0, L_inst_exec._s3459/L1C1, L_inst_exec._s3459/L1C2, C<1>;
L_inst_exec._s3463/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3463/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3463/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3463/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3463/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3463/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3463/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3463/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3463/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3463/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3463/L1C0 .functor AND, L_inst_exec._s3463/L0C0, L_inst_exec._s3463/L0C1, L_inst_exec._s3463/L0C2, L_inst_exec._s3463/L0C3;
L_inst_exec._s3463/L1C1 .functor AND, L_inst_exec._s3463/L0C4, L_inst_exec._s3463/L0C5, L_inst_exec._s3463/L0C6, L_inst_exec._s3463/L0C7;
L_inst_exec._s3463/L1C2 .functor AND, L_inst_exec._s3463/L0C8, L_inst_exec._s3463/L0C9, C<1>, C<1>;
L_inst_exec._s3463 .functor AND, L_inst_exec._s3463/L1C0, L_inst_exec._s3463/L1C1, L_inst_exec._s3463/L1C2, C<1>;
L_inst_exec._s3468/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3468/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3468/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3468/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3468/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3468/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3468/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3468/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3468/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3468/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3468/L1C0 .functor AND, L_inst_exec._s3468/L0C0, L_inst_exec._s3468/L0C1, L_inst_exec._s3468/L0C2, L_inst_exec._s3468/L0C3;
L_inst_exec._s3468/L1C1 .functor AND, L_inst_exec._s3468/L0C4, L_inst_exec._s3468/L0C5, L_inst_exec._s3468/L0C6, L_inst_exec._s3468/L0C7;
L_inst_exec._s3468/L1C2 .functor AND, L_inst_exec._s3468/L0C8, L_inst_exec._s3468/L0C9, C<1>, C<1>;
L_inst_exec._s3468 .functor AND, L_inst_exec._s3468/L1C0, L_inst_exec._s3468/L1C1, L_inst_exec._s3468/L1C2, C<1>;
L_inst_exec._s3472/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3472/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3472/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3472/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3472/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3472/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3472/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3472/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3472/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3472/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3472/L1C0 .functor AND, L_inst_exec._s3472/L0C0, L_inst_exec._s3472/L0C1, L_inst_exec._s3472/L0C2, L_inst_exec._s3472/L0C3;
L_inst_exec._s3472/L1C1 .functor AND, L_inst_exec._s3472/L0C4, L_inst_exec._s3472/L0C5, L_inst_exec._s3472/L0C6, L_inst_exec._s3472/L0C7;
L_inst_exec._s3472/L1C2 .functor AND, L_inst_exec._s3472/L0C8, L_inst_exec._s3472/L0C9, C<1>, C<1>;
L_inst_exec._s3472 .functor AND, L_inst_exec._s3472/L1C0, L_inst_exec._s3472/L1C1, L_inst_exec._s3472/L1C2, C<1>;
L_inst_exec._s3476/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3476/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3476/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3476/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3476/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3476/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3476/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3476/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3476/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3476/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3476/L1C0 .functor AND, L_inst_exec._s3476/L0C0, L_inst_exec._s3476/L0C1, L_inst_exec._s3476/L0C2, L_inst_exec._s3476/L0C3;
L_inst_exec._s3476/L1C1 .functor AND, L_inst_exec._s3476/L0C4, L_inst_exec._s3476/L0C5, L_inst_exec._s3476/L0C6, L_inst_exec._s3476/L0C7;
L_inst_exec._s3476/L1C2 .functor AND, L_inst_exec._s3476/L0C8, L_inst_exec._s3476/L0C9, C<1>, C<1>;
L_inst_exec._s3476 .functor AND, L_inst_exec._s3476/L1C0, L_inst_exec._s3476/L1C1, L_inst_exec._s3476/L1C2, C<1>;
L_inst_exec._s3480/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3480/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3480/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3480/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3480/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3480/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3480/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3480/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3480/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3480/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3480/L1C0 .functor AND, L_inst_exec._s3480/L0C0, L_inst_exec._s3480/L0C1, L_inst_exec._s3480/L0C2, L_inst_exec._s3480/L0C3;
L_inst_exec._s3480/L1C1 .functor AND, L_inst_exec._s3480/L0C4, L_inst_exec._s3480/L0C5, L_inst_exec._s3480/L0C6, L_inst_exec._s3480/L0C7;
L_inst_exec._s3480/L1C2 .functor AND, L_inst_exec._s3480/L0C8, L_inst_exec._s3480/L0C9, C<1>, C<1>;
L_inst_exec._s3480 .functor AND, L_inst_exec._s3480/L1C0, L_inst_exec._s3480/L1C1, L_inst_exec._s3480/L1C2, C<1>;
L_inst_exec._s3484/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3484/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3484/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3484/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3484/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3484/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3484/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3484/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3484/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3484/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3484/L1C0 .functor AND, L_inst_exec._s3484/L0C0, L_inst_exec._s3484/L0C1, L_inst_exec._s3484/L0C2, L_inst_exec._s3484/L0C3;
L_inst_exec._s3484/L1C1 .functor AND, L_inst_exec._s3484/L0C4, L_inst_exec._s3484/L0C5, L_inst_exec._s3484/L0C6, L_inst_exec._s3484/L0C7;
L_inst_exec._s3484/L1C2 .functor AND, L_inst_exec._s3484/L0C8, L_inst_exec._s3484/L0C9, C<1>, C<1>;
L_inst_exec._s3484 .functor AND, L_inst_exec._s3484/L1C0, L_inst_exec._s3484/L1C1, L_inst_exec._s3484/L1C2, C<1>;
L_inst_exec._s3489/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3489/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3489/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3489/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3489/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3489/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3489/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3489/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3489/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3489/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3489/L1C0 .functor AND, L_inst_exec._s3489/L0C0, L_inst_exec._s3489/L0C1, L_inst_exec._s3489/L0C2, L_inst_exec._s3489/L0C3;
L_inst_exec._s3489/L1C1 .functor AND, L_inst_exec._s3489/L0C4, L_inst_exec._s3489/L0C5, L_inst_exec._s3489/L0C6, L_inst_exec._s3489/L0C7;
L_inst_exec._s3489/L1C2 .functor AND, L_inst_exec._s3489/L0C8, L_inst_exec._s3489/L0C9, C<1>, C<1>;
L_inst_exec._s3489 .functor AND, L_inst_exec._s3489/L1C0, L_inst_exec._s3489/L1C1, L_inst_exec._s3489/L1C2, C<1>;
L_inst_exec._s3493/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3493/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3493/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3493/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3493/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3493/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3493/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3493/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3493/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3493/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3493/L1C0 .functor AND, L_inst_exec._s3493/L0C0, L_inst_exec._s3493/L0C1, L_inst_exec._s3493/L0C2, L_inst_exec._s3493/L0C3;
L_inst_exec._s3493/L1C1 .functor AND, L_inst_exec._s3493/L0C4, L_inst_exec._s3493/L0C5, L_inst_exec._s3493/L0C6, L_inst_exec._s3493/L0C7;
L_inst_exec._s3493/L1C2 .functor AND, L_inst_exec._s3493/L0C8, L_inst_exec._s3493/L0C9, C<1>, C<1>;
L_inst_exec._s3493 .functor AND, L_inst_exec._s3493/L1C0, L_inst_exec._s3493/L1C1, L_inst_exec._s3493/L1C2, C<1>;
L_inst_exec._s3497/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3497/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3497/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3497/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3497/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3497/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3497/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3497/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3497/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3497/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3497/L1C0 .functor AND, L_inst_exec._s3497/L0C0, L_inst_exec._s3497/L0C1, L_inst_exec._s3497/L0C2, L_inst_exec._s3497/L0C3;
L_inst_exec._s3497/L1C1 .functor AND, L_inst_exec._s3497/L0C4, L_inst_exec._s3497/L0C5, L_inst_exec._s3497/L0C6, L_inst_exec._s3497/L0C7;
L_inst_exec._s3497/L1C2 .functor AND, L_inst_exec._s3497/L0C8, L_inst_exec._s3497/L0C9, C<1>, C<1>;
L_inst_exec._s3497 .functor AND, L_inst_exec._s3497/L1C0, L_inst_exec._s3497/L1C1, L_inst_exec._s3497/L1C2, C<1>;
L_inst_exec._s3501/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3501/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3501/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3501/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3501/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3501/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3501/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3501/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3501/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3501/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3501/L1C0 .functor AND, L_inst_exec._s3501/L0C0, L_inst_exec._s3501/L0C1, L_inst_exec._s3501/L0C2, L_inst_exec._s3501/L0C3;
L_inst_exec._s3501/L1C1 .functor AND, L_inst_exec._s3501/L0C4, L_inst_exec._s3501/L0C5, L_inst_exec._s3501/L0C6, L_inst_exec._s3501/L0C7;
L_inst_exec._s3501/L1C2 .functor AND, L_inst_exec._s3501/L0C8, L_inst_exec._s3501/L0C9, C<1>, C<1>;
L_inst_exec._s3501 .functor AND, L_inst_exec._s3501/L1C0, L_inst_exec._s3501/L1C1, L_inst_exec._s3501/L1C2, C<1>;
L_inst_exec._s3505/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3505/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3505/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3505/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3505/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3505/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3505/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3505/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3505/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3505/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3505/L1C0 .functor AND, L_inst_exec._s3505/L0C0, L_inst_exec._s3505/L0C1, L_inst_exec._s3505/L0C2, L_inst_exec._s3505/L0C3;
L_inst_exec._s3505/L1C1 .functor AND, L_inst_exec._s3505/L0C4, L_inst_exec._s3505/L0C5, L_inst_exec._s3505/L0C6, L_inst_exec._s3505/L0C7;
L_inst_exec._s3505/L1C2 .functor AND, L_inst_exec._s3505/L0C8, L_inst_exec._s3505/L0C9, C<1>, C<1>;
L_inst_exec._s3505 .functor AND, L_inst_exec._s3505/L1C0, L_inst_exec._s3505/L1C1, L_inst_exec._s3505/L1C2, C<1>;
L_inst_exec._s3510/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3510/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3510/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3510/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3510/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3510/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3510/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3510/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3510/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3510/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3510/L1C0 .functor AND, L_inst_exec._s3510/L0C0, L_inst_exec._s3510/L0C1, L_inst_exec._s3510/L0C2, L_inst_exec._s3510/L0C3;
L_inst_exec._s3510/L1C1 .functor AND, L_inst_exec._s3510/L0C4, L_inst_exec._s3510/L0C5, L_inst_exec._s3510/L0C6, L_inst_exec._s3510/L0C7;
L_inst_exec._s3510/L1C2 .functor AND, L_inst_exec._s3510/L0C8, L_inst_exec._s3510/L0C9, C<1>, C<1>;
L_inst_exec._s3510 .functor AND, L_inst_exec._s3510/L1C0, L_inst_exec._s3510/L1C1, L_inst_exec._s3510/L1C2, C<1>;
L_inst_exec._s3514/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3514/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3514/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3514/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3514/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3514/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3514/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3514/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3514/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3514/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3514/L1C0 .functor AND, L_inst_exec._s3514/L0C0, L_inst_exec._s3514/L0C1, L_inst_exec._s3514/L0C2, L_inst_exec._s3514/L0C3;
L_inst_exec._s3514/L1C1 .functor AND, L_inst_exec._s3514/L0C4, L_inst_exec._s3514/L0C5, L_inst_exec._s3514/L0C6, L_inst_exec._s3514/L0C7;
L_inst_exec._s3514/L1C2 .functor AND, L_inst_exec._s3514/L0C8, L_inst_exec._s3514/L0C9, C<1>, C<1>;
L_inst_exec._s3514 .functor AND, L_inst_exec._s3514/L1C0, L_inst_exec._s3514/L1C1, L_inst_exec._s3514/L1C2, C<1>;
L_inst_exec._s3518/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3518/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3518/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3518/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3518/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3518/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3518/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3518/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3518/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3518/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3518/L1C0 .functor AND, L_inst_exec._s3518/L0C0, L_inst_exec._s3518/L0C1, L_inst_exec._s3518/L0C2, L_inst_exec._s3518/L0C3;
L_inst_exec._s3518/L1C1 .functor AND, L_inst_exec._s3518/L0C4, L_inst_exec._s3518/L0C5, L_inst_exec._s3518/L0C6, L_inst_exec._s3518/L0C7;
L_inst_exec._s3518/L1C2 .functor AND, L_inst_exec._s3518/L0C8, L_inst_exec._s3518/L0C9, C<1>, C<1>;
L_inst_exec._s3518 .functor AND, L_inst_exec._s3518/L1C0, L_inst_exec._s3518/L1C1, L_inst_exec._s3518/L1C2, C<1>;
L_inst_exec._s3522/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3522/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3522/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3522/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3522/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3522/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3522/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3522/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3522/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3522/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3522/L1C0 .functor AND, L_inst_exec._s3522/L0C0, L_inst_exec._s3522/L0C1, L_inst_exec._s3522/L0C2, L_inst_exec._s3522/L0C3;
L_inst_exec._s3522/L1C1 .functor AND, L_inst_exec._s3522/L0C4, L_inst_exec._s3522/L0C5, L_inst_exec._s3522/L0C6, L_inst_exec._s3522/L0C7;
L_inst_exec._s3522/L1C2 .functor AND, L_inst_exec._s3522/L0C8, L_inst_exec._s3522/L0C9, C<1>, C<1>;
L_inst_exec._s3522 .functor AND, L_inst_exec._s3522/L1C0, L_inst_exec._s3522/L1C1, L_inst_exec._s3522/L1C2, C<1>;
L_inst_exec._s3526/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3526/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3526/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3526/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3526/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3526/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3526/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3526/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3526/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3526/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3526/L1C0 .functor AND, L_inst_exec._s3526/L0C0, L_inst_exec._s3526/L0C1, L_inst_exec._s3526/L0C2, L_inst_exec._s3526/L0C3;
L_inst_exec._s3526/L1C1 .functor AND, L_inst_exec._s3526/L0C4, L_inst_exec._s3526/L0C5, L_inst_exec._s3526/L0C6, L_inst_exec._s3526/L0C7;
L_inst_exec._s3526/L1C2 .functor AND, L_inst_exec._s3526/L0C8, L_inst_exec._s3526/L0C9, C<1>, C<1>;
L_inst_exec._s3526 .functor AND, L_inst_exec._s3526/L1C0, L_inst_exec._s3526/L1C1, L_inst_exec._s3526/L1C2, C<1>;
L_inst_exec._s3531/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3531/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3531/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3531/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3531/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3531/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3531/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3531/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3531/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3531/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3531/L1C0 .functor AND, L_inst_exec._s3531/L0C0, L_inst_exec._s3531/L0C1, L_inst_exec._s3531/L0C2, L_inst_exec._s3531/L0C3;
L_inst_exec._s3531/L1C1 .functor AND, L_inst_exec._s3531/L0C4, L_inst_exec._s3531/L0C5, L_inst_exec._s3531/L0C6, L_inst_exec._s3531/L0C7;
L_inst_exec._s3531/L1C2 .functor AND, L_inst_exec._s3531/L0C8, L_inst_exec._s3531/L0C9, C<1>, C<1>;
L_inst_exec._s3531 .functor AND, L_inst_exec._s3531/L1C0, L_inst_exec._s3531/L1C1, L_inst_exec._s3531/L1C2, C<1>;
L_inst_exec._s3535/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3535/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3535/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3535/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3535/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3535/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3535/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3535/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3535/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3535/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3535/L1C0 .functor AND, L_inst_exec._s3535/L0C0, L_inst_exec._s3535/L0C1, L_inst_exec._s3535/L0C2, L_inst_exec._s3535/L0C3;
L_inst_exec._s3535/L1C1 .functor AND, L_inst_exec._s3535/L0C4, L_inst_exec._s3535/L0C5, L_inst_exec._s3535/L0C6, L_inst_exec._s3535/L0C7;
L_inst_exec._s3535/L1C2 .functor AND, L_inst_exec._s3535/L0C8, L_inst_exec._s3535/L0C9, C<1>, C<1>;
L_inst_exec._s3535 .functor AND, L_inst_exec._s3535/L1C0, L_inst_exec._s3535/L1C1, L_inst_exec._s3535/L1C2, C<1>;
L_inst_exec._s3539/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3539/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3539/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3539/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3539/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3539/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3539/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3539/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3539/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3539/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3539/L1C0 .functor AND, L_inst_exec._s3539/L0C0, L_inst_exec._s3539/L0C1, L_inst_exec._s3539/L0C2, L_inst_exec._s3539/L0C3;
L_inst_exec._s3539/L1C1 .functor AND, L_inst_exec._s3539/L0C4, L_inst_exec._s3539/L0C5, L_inst_exec._s3539/L0C6, L_inst_exec._s3539/L0C7;
L_inst_exec._s3539/L1C2 .functor AND, L_inst_exec._s3539/L0C8, L_inst_exec._s3539/L0C9, C<1>, C<1>;
L_inst_exec._s3539 .functor AND, L_inst_exec._s3539/L1C0, L_inst_exec._s3539/L1C1, L_inst_exec._s3539/L1C2, C<1>;
L_inst_exec._s3544/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3544/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3544/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3544/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3544/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3544/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3544/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3544/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3544/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3544/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3544/L1C0 .functor AND, L_inst_exec._s3544/L0C0, L_inst_exec._s3544/L0C1, L_inst_exec._s3544/L0C2, L_inst_exec._s3544/L0C3;
L_inst_exec._s3544/L1C1 .functor AND, L_inst_exec._s3544/L0C4, L_inst_exec._s3544/L0C5, L_inst_exec._s3544/L0C6, L_inst_exec._s3544/L0C7;
L_inst_exec._s3544/L1C2 .functor AND, L_inst_exec._s3544/L0C8, L_inst_exec._s3544/L0C9, C<1>, C<1>;
L_inst_exec._s3544 .functor AND, L_inst_exec._s3544/L1C0, L_inst_exec._s3544/L1C1, L_inst_exec._s3544/L1C2, C<1>;
L_inst_exec._s3548/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3548/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3548/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3548/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3548/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3548/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3548/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3548/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3548/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3548/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3548/L1C0 .functor AND, L_inst_exec._s3548/L0C0, L_inst_exec._s3548/L0C1, L_inst_exec._s3548/L0C2, L_inst_exec._s3548/L0C3;
L_inst_exec._s3548/L1C1 .functor AND, L_inst_exec._s3548/L0C4, L_inst_exec._s3548/L0C5, L_inst_exec._s3548/L0C6, L_inst_exec._s3548/L0C7;
L_inst_exec._s3548/L1C2 .functor AND, L_inst_exec._s3548/L0C8, L_inst_exec._s3548/L0C9, C<1>, C<1>;
L_inst_exec._s3548 .functor AND, L_inst_exec._s3548/L1C0, L_inst_exec._s3548/L1C1, L_inst_exec._s3548/L1C2, C<1>;
L_inst_exec._s3553/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3553/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3553/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3553/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3553/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3553/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3553/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3553/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3553/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3553/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3553/L1C0 .functor AND, L_inst_exec._s3553/L0C0, L_inst_exec._s3553/L0C1, L_inst_exec._s3553/L0C2, L_inst_exec._s3553/L0C3;
L_inst_exec._s3553/L1C1 .functor AND, L_inst_exec._s3553/L0C4, L_inst_exec._s3553/L0C5, L_inst_exec._s3553/L0C6, L_inst_exec._s3553/L0C7;
L_inst_exec._s3553/L1C2 .functor AND, L_inst_exec._s3553/L0C8, L_inst_exec._s3553/L0C9, C<1>, C<1>;
L_inst_exec._s3553 .functor AND, L_inst_exec._s3553/L1C0, L_inst_exec._s3553/L1C1, L_inst_exec._s3553/L1C2, C<1>;
L_inst_exec._s3557/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3557/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3557/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3557/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3557/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3557/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3557/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3557/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3557/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3557/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3557/L1C0 .functor AND, L_inst_exec._s3557/L0C0, L_inst_exec._s3557/L0C1, L_inst_exec._s3557/L0C2, L_inst_exec._s3557/L0C3;
L_inst_exec._s3557/L1C1 .functor AND, L_inst_exec._s3557/L0C4, L_inst_exec._s3557/L0C5, L_inst_exec._s3557/L0C6, L_inst_exec._s3557/L0C7;
L_inst_exec._s3557/L1C2 .functor AND, L_inst_exec._s3557/L0C8, L_inst_exec._s3557/L0C9, C<1>, C<1>;
L_inst_exec._s3557 .functor AND, L_inst_exec._s3557/L1C0, L_inst_exec._s3557/L1C1, L_inst_exec._s3557/L1C2, C<1>;
L_inst_exec._s3561/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3561/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3561/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3561/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3561/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3561/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3561/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3561/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3561/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3561/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3561/L1C0 .functor AND, L_inst_exec._s3561/L0C0, L_inst_exec._s3561/L0C1, L_inst_exec._s3561/L0C2, L_inst_exec._s3561/L0C3;
L_inst_exec._s3561/L1C1 .functor AND, L_inst_exec._s3561/L0C4, L_inst_exec._s3561/L0C5, L_inst_exec._s3561/L0C6, L_inst_exec._s3561/L0C7;
L_inst_exec._s3561/L1C2 .functor AND, L_inst_exec._s3561/L0C8, L_inst_exec._s3561/L0C9, C<1>, C<1>;
L_inst_exec._s3561 .functor AND, L_inst_exec._s3561/L1C0, L_inst_exec._s3561/L1C1, L_inst_exec._s3561/L1C2, C<1>;
L_inst_exec._s3566/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3566/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3566/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3566/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3566/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3566/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3566/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3566/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3566/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3566/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3566/L1C0 .functor AND, L_inst_exec._s3566/L0C0, L_inst_exec._s3566/L0C1, L_inst_exec._s3566/L0C2, L_inst_exec._s3566/L0C3;
L_inst_exec._s3566/L1C1 .functor AND, L_inst_exec._s3566/L0C4, L_inst_exec._s3566/L0C5, L_inst_exec._s3566/L0C6, L_inst_exec._s3566/L0C7;
L_inst_exec._s3566/L1C2 .functor AND, L_inst_exec._s3566/L0C8, L_inst_exec._s3566/L0C9, C<1>, C<1>;
L_inst_exec._s3566 .functor AND, L_inst_exec._s3566/L1C0, L_inst_exec._s3566/L1C1, L_inst_exec._s3566/L1C2, C<1>;
L_inst_exec._s3573/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3573/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3573/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3573/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3573/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3573/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3573/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3573/L1C0 .functor AND, L_inst_exec._s3573/L0C0, L_inst_exec._s3573/L0C1, L_inst_exec._s3573/L0C2, L_inst_exec._s3573/L0C3;
L_inst_exec._s3573/L1C1 .functor AND, L_inst_exec._s3573/L0C4, L_inst_exec._s3573/L0C5, L_inst_exec._s3573/L0C6, C<1>;
L_inst_exec._s3573 .functor AND, L_inst_exec._s3573/L1C0, L_inst_exec._s3573/L1C1, C<1>, C<1>;
L_inst_exec._s3577/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3577/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3577/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3577/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3577/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3577/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3577/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3577/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3577/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3577/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3577/L1C0 .functor AND, L_inst_exec._s3577/L0C0, L_inst_exec._s3577/L0C1, L_inst_exec._s3577/L0C2, L_inst_exec._s3577/L0C3;
L_inst_exec._s3577/L1C1 .functor AND, L_inst_exec._s3577/L0C4, L_inst_exec._s3577/L0C5, L_inst_exec._s3577/L0C6, L_inst_exec._s3577/L0C7;
L_inst_exec._s3577/L1C2 .functor AND, L_inst_exec._s3577/L0C8, L_inst_exec._s3577/L0C9, C<1>, C<1>;
L_inst_exec._s3577 .functor AND, L_inst_exec._s3577/L1C0, L_inst_exec._s3577/L1C1, L_inst_exec._s3577/L1C2, C<1>;
L_inst_exec._s3579/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3579/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3579/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3579/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3579/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3579/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3579/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3579/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3579/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3579/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3579/L1C0 .functor AND, L_inst_exec._s3579/L0C0, L_inst_exec._s3579/L0C1, L_inst_exec._s3579/L0C2, L_inst_exec._s3579/L0C3;
L_inst_exec._s3579/L1C1 .functor AND, L_inst_exec._s3579/L0C4, L_inst_exec._s3579/L0C5, L_inst_exec._s3579/L0C6, L_inst_exec._s3579/L0C7;
L_inst_exec._s3579/L1C2 .functor AND, L_inst_exec._s3579/L0C8, L_inst_exec._s3579/L0C9, C<1>, C<1>;
L_inst_exec._s3579 .functor AND, L_inst_exec._s3579/L1C0, L_inst_exec._s3579/L1C1, L_inst_exec._s3579/L1C2, C<1>;
L_inst_exec._s3583/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3583/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3583/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3583/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3583/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3583/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3583/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3583/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3583/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3583/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3583/L1C0 .functor AND, L_inst_exec._s3583/L0C0, L_inst_exec._s3583/L0C1, L_inst_exec._s3583/L0C2, L_inst_exec._s3583/L0C3;
L_inst_exec._s3583/L1C1 .functor AND, L_inst_exec._s3583/L0C4, L_inst_exec._s3583/L0C5, L_inst_exec._s3583/L0C6, L_inst_exec._s3583/L0C7;
L_inst_exec._s3583/L1C2 .functor AND, L_inst_exec._s3583/L0C8, L_inst_exec._s3583/L0C9, C<1>, C<1>;
L_inst_exec._s3583 .functor AND, L_inst_exec._s3583/L1C0, L_inst_exec._s3583/L1C1, L_inst_exec._s3583/L1C2, C<1>;
L_inst_exec._s3587/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3587/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3587/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3587/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3587/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3587/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3587/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3587/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3587/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3587/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3587/L1C0 .functor AND, L_inst_exec._s3587/L0C0, L_inst_exec._s3587/L0C1, L_inst_exec._s3587/L0C2, L_inst_exec._s3587/L0C3;
L_inst_exec._s3587/L1C1 .functor AND, L_inst_exec._s3587/L0C4, L_inst_exec._s3587/L0C5, L_inst_exec._s3587/L0C6, L_inst_exec._s3587/L0C7;
L_inst_exec._s3587/L1C2 .functor AND, L_inst_exec._s3587/L0C8, L_inst_exec._s3587/L0C9, C<1>, C<1>;
L_inst_exec._s3587 .functor AND, L_inst_exec._s3587/L1C0, L_inst_exec._s3587/L1C1, L_inst_exec._s3587/L1C2, C<1>;
L_inst_exec._s3609/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3609/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3609/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3609/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3609/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3609/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3609/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3609/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3609/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3609/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3609/L1C0 .functor AND, L_inst_exec._s3609/L0C0, L_inst_exec._s3609/L0C1, L_inst_exec._s3609/L0C2, L_inst_exec._s3609/L0C3;
L_inst_exec._s3609/L1C1 .functor AND, L_inst_exec._s3609/L0C4, L_inst_exec._s3609/L0C5, L_inst_exec._s3609/L0C6, L_inst_exec._s3609/L0C7;
L_inst_exec._s3609/L1C2 .functor AND, L_inst_exec._s3609/L0C8, L_inst_exec._s3609/L0C9, C<1>, C<1>;
L_inst_exec._s3609 .functor AND, L_inst_exec._s3609/L1C0, L_inst_exec._s3609/L1C1, L_inst_exec._s3609/L1C2, C<1>;
L_inst_exec._s3611/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3611/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3611/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3611/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3611/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3611/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3611/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3611/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3611/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3611/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3611/L1C0 .functor AND, L_inst_exec._s3611/L0C0, L_inst_exec._s3611/L0C1, L_inst_exec._s3611/L0C2, L_inst_exec._s3611/L0C3;
L_inst_exec._s3611/L1C1 .functor AND, L_inst_exec._s3611/L0C4, L_inst_exec._s3611/L0C5, L_inst_exec._s3611/L0C6, L_inst_exec._s3611/L0C7;
L_inst_exec._s3611/L1C2 .functor AND, L_inst_exec._s3611/L0C8, L_inst_exec._s3611/L0C9, C<1>, C<1>;
L_inst_exec._s3611 .functor AND, L_inst_exec._s3611/L1C0, L_inst_exec._s3611/L1C1, L_inst_exec._s3611/L1C2, C<1>;
L_inst_exec._s3615/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3615/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3615/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3615/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3615/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3615/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3615/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3615/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3615/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3615/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3615/L1C0 .functor AND, L_inst_exec._s3615/L0C0, L_inst_exec._s3615/L0C1, L_inst_exec._s3615/L0C2, L_inst_exec._s3615/L0C3;
L_inst_exec._s3615/L1C1 .functor AND, L_inst_exec._s3615/L0C4, L_inst_exec._s3615/L0C5, L_inst_exec._s3615/L0C6, L_inst_exec._s3615/L0C7;
L_inst_exec._s3615/L1C2 .functor AND, L_inst_exec._s3615/L0C8, L_inst_exec._s3615/L0C9, C<1>, C<1>;
L_inst_exec._s3615 .functor AND, L_inst_exec._s3615/L1C0, L_inst_exec._s3615/L1C1, L_inst_exec._s3615/L1C2, C<1>;
L_inst_exec._s3619/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3619/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3619/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3619/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3619/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3619/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3619/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3619/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3619/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3619/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3619/L1C0 .functor AND, L_inst_exec._s3619/L0C0, L_inst_exec._s3619/L0C1, L_inst_exec._s3619/L0C2, L_inst_exec._s3619/L0C3;
L_inst_exec._s3619/L1C1 .functor AND, L_inst_exec._s3619/L0C4, L_inst_exec._s3619/L0C5, L_inst_exec._s3619/L0C6, L_inst_exec._s3619/L0C7;
L_inst_exec._s3619/L1C2 .functor AND, L_inst_exec._s3619/L0C8, L_inst_exec._s3619/L0C9, C<1>, C<1>;
L_inst_exec._s3619 .functor AND, L_inst_exec._s3619/L1C0, L_inst_exec._s3619/L1C1, L_inst_exec._s3619/L1C2, C<1>;
L_inst_exec._s3625/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3625/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3625/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3625/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3625/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3625/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3625/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3625/L1C0 .functor AND, L_inst_exec._s3625/L0C0, L_inst_exec._s3625/L0C1, L_inst_exec._s3625/L0C2, L_inst_exec._s3625/L0C3;
L_inst_exec._s3625/L1C1 .functor AND, L_inst_exec._s3625/L0C4, L_inst_exec._s3625/L0C5, L_inst_exec._s3625/L0C6, C<1>;
L_inst_exec._s3625 .functor AND, L_inst_exec._s3625/L1C0, L_inst_exec._s3625/L1C1, C<1>, C<1>;
L_inst_exec._s3629/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3629/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3629/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3629/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3629/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3629/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3629/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3629/L1C0 .functor AND, L_inst_exec._s3629/L0C0, L_inst_exec._s3629/L0C1, L_inst_exec._s3629/L0C2, L_inst_exec._s3629/L0C3;
L_inst_exec._s3629/L1C1 .functor AND, L_inst_exec._s3629/L0C4, L_inst_exec._s3629/L0C5, L_inst_exec._s3629/L0C6, C<1>;
L_inst_exec._s3629 .functor AND, L_inst_exec._s3629/L1C0, L_inst_exec._s3629/L1C1, C<1>, C<1>;
L_inst_exec._s3635/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3635/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3635/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3635/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3635/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3635/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3635/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3635/L1C0 .functor AND, L_inst_exec._s3635/L0C0, L_inst_exec._s3635/L0C1, L_inst_exec._s3635/L0C2, L_inst_exec._s3635/L0C3;
L_inst_exec._s3635/L1C1 .functor AND, L_inst_exec._s3635/L0C4, L_inst_exec._s3635/L0C5, L_inst_exec._s3635/L0C6, C<1>;
L_inst_exec._s3635 .functor AND, L_inst_exec._s3635/L1C0, L_inst_exec._s3635/L1C1, C<1>, C<1>;
L_inst_exec._s3641/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3641/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3641/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3641/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3641/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3641/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3641/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3641/L1C0 .functor AND, L_inst_exec._s3641/L0C0, L_inst_exec._s3641/L0C1, L_inst_exec._s3641/L0C2, L_inst_exec._s3641/L0C3;
L_inst_exec._s3641/L1C1 .functor AND, L_inst_exec._s3641/L0C4, L_inst_exec._s3641/L0C5, L_inst_exec._s3641/L0C6, C<1>;
L_inst_exec._s3641 .functor AND, L_inst_exec._s3641/L1C0, L_inst_exec._s3641/L1C1, C<1>, C<1>;
L_inst_exec._s3647/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3647/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3647/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3647/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3647/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3647/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3647/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3647/L1C0 .functor AND, L_inst_exec._s3647/L0C0, L_inst_exec._s3647/L0C1, L_inst_exec._s3647/L0C2, L_inst_exec._s3647/L0C3;
L_inst_exec._s3647/L1C1 .functor AND, L_inst_exec._s3647/L0C4, L_inst_exec._s3647/L0C5, L_inst_exec._s3647/L0C6, C<1>;
L_inst_exec._s3647 .functor AND, L_inst_exec._s3647/L1C0, L_inst_exec._s3647/L1C1, C<1>, C<1>;
L_inst_exec._s3653/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3653/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3653/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3653/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3653/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3653/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3653/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3653/L1C0 .functor AND, L_inst_exec._s3653/L0C0, L_inst_exec._s3653/L0C1, L_inst_exec._s3653/L0C2, L_inst_exec._s3653/L0C3;
L_inst_exec._s3653/L1C1 .functor AND, L_inst_exec._s3653/L0C4, L_inst_exec._s3653/L0C5, L_inst_exec._s3653/L0C6, C<1>;
L_inst_exec._s3653 .functor AND, L_inst_exec._s3653/L1C0, L_inst_exec._s3653/L1C1, C<1>, C<1>;
L_inst_exec._s3659/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3659/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3659/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3659/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3659/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3659/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3659/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3659/L1C0 .functor AND, L_inst_exec._s3659/L0C0, L_inst_exec._s3659/L0C1, L_inst_exec._s3659/L0C2, L_inst_exec._s3659/L0C3;
L_inst_exec._s3659/L1C1 .functor AND, L_inst_exec._s3659/L0C4, L_inst_exec._s3659/L0C5, L_inst_exec._s3659/L0C6, C<1>;
L_inst_exec._s3659 .functor AND, L_inst_exec._s3659/L1C0, L_inst_exec._s3659/L1C1, C<1>, C<1>;
L_inst_exec._s3665/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3665/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3665/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3665/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3665/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3665/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3665/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3665/L1C0 .functor AND, L_inst_exec._s3665/L0C0, L_inst_exec._s3665/L0C1, L_inst_exec._s3665/L0C2, L_inst_exec._s3665/L0C3;
L_inst_exec._s3665/L1C1 .functor AND, L_inst_exec._s3665/L0C4, L_inst_exec._s3665/L0C5, L_inst_exec._s3665/L0C6, C<1>;
L_inst_exec._s3665 .functor AND, L_inst_exec._s3665/L1C0, L_inst_exec._s3665/L1C1, C<1>, C<1>;
L_inst_exec._s3670/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3670/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3670/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3670/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3670/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3670/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3670/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3670/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3670/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3670/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3670/L1C0 .functor AND, L_inst_exec._s3670/L0C0, L_inst_exec._s3670/L0C1, L_inst_exec._s3670/L0C2, L_inst_exec._s3670/L0C3;
L_inst_exec._s3670/L1C1 .functor AND, L_inst_exec._s3670/L0C4, L_inst_exec._s3670/L0C5, L_inst_exec._s3670/L0C6, L_inst_exec._s3670/L0C7;
L_inst_exec._s3670/L1C2 .functor AND, L_inst_exec._s3670/L0C8, L_inst_exec._s3670/L0C9, C<1>, C<1>;
L_inst_exec._s3670 .functor AND, L_inst_exec._s3670/L1C0, L_inst_exec._s3670/L1C1, L_inst_exec._s3670/L1C2, C<1>;
L_inst_exec._s3673/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3673/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3673/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3673/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3673/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3673/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3673/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3673/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3673/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3673/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3673/L1C0 .functor AND, L_inst_exec._s3673/L0C0, L_inst_exec._s3673/L0C1, L_inst_exec._s3673/L0C2, L_inst_exec._s3673/L0C3;
L_inst_exec._s3673/L1C1 .functor AND, L_inst_exec._s3673/L0C4, L_inst_exec._s3673/L0C5, L_inst_exec._s3673/L0C6, L_inst_exec._s3673/L0C7;
L_inst_exec._s3673/L1C2 .functor AND, L_inst_exec._s3673/L0C8, L_inst_exec._s3673/L0C9, C<1>, C<1>;
L_inst_exec._s3673 .functor AND, L_inst_exec._s3673/L1C0, L_inst_exec._s3673/L1C1, L_inst_exec._s3673/L1C2, C<1>;
L_inst_exec._s3678/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3678/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3678/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3678/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3678/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3678/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3678/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3678/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3678/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3678/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3678/L1C0 .functor AND, L_inst_exec._s3678/L0C0, L_inst_exec._s3678/L0C1, L_inst_exec._s3678/L0C2, L_inst_exec._s3678/L0C3;
L_inst_exec._s3678/L1C1 .functor AND, L_inst_exec._s3678/L0C4, L_inst_exec._s3678/L0C5, L_inst_exec._s3678/L0C6, L_inst_exec._s3678/L0C7;
L_inst_exec._s3678/L1C2 .functor AND, L_inst_exec._s3678/L0C8, L_inst_exec._s3678/L0C9, C<1>, C<1>;
L_inst_exec._s3678 .functor AND, L_inst_exec._s3678/L1C0, L_inst_exec._s3678/L1C1, L_inst_exec._s3678/L1C2, C<1>;
L_inst_exec._s3683/L0C0 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3683/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3683/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3683/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3683/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3683/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3683/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3683/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3683/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3683/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3683/L1C0 .functor AND, L_inst_exec._s3683/L0C0, L_inst_exec._s3683/L0C1, L_inst_exec._s3683/L0C2, L_inst_exec._s3683/L0C3;
L_inst_exec._s3683/L1C1 .functor AND, L_inst_exec._s3683/L0C4, L_inst_exec._s3683/L0C5, L_inst_exec._s3683/L0C6, L_inst_exec._s3683/L0C7;
L_inst_exec._s3683/L1C2 .functor AND, L_inst_exec._s3683/L0C8, L_inst_exec._s3683/L0C9, C<1>, C<1>;
L_inst_exec._s3683 .functor AND, L_inst_exec._s3683/L1C0, L_inst_exec._s3683/L1C1, L_inst_exec._s3683/L1C2, C<1>;
L_inst_exec._s3688/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3688/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3688/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3688/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3688/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3688/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3688/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3688/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3688/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3688/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3688/L1C0 .functor AND, L_inst_exec._s3688/L0C0, L_inst_exec._s3688/L0C1, L_inst_exec._s3688/L0C2, L_inst_exec._s3688/L0C3;
L_inst_exec._s3688/L1C1 .functor AND, L_inst_exec._s3688/L0C4, L_inst_exec._s3688/L0C5, L_inst_exec._s3688/L0C6, L_inst_exec._s3688/L0C7;
L_inst_exec._s3688/L1C2 .functor AND, L_inst_exec._s3688/L0C8, L_inst_exec._s3688/L0C9, C<1>, C<1>;
L_inst_exec._s3688 .functor AND, L_inst_exec._s3688/L1C0, L_inst_exec._s3688/L1C1, L_inst_exec._s3688/L1C2, C<1>;
L_inst_exec._s3691/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3691/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3691/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3691/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3691/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3691/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3691/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3691/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3691/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3691/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3691/L1C0 .functor AND, L_inst_exec._s3691/L0C0, L_inst_exec._s3691/L0C1, L_inst_exec._s3691/L0C2, L_inst_exec._s3691/L0C3;
L_inst_exec._s3691/L1C1 .functor AND, L_inst_exec._s3691/L0C4, L_inst_exec._s3691/L0C5, L_inst_exec._s3691/L0C6, L_inst_exec._s3691/L0C7;
L_inst_exec._s3691/L1C2 .functor AND, L_inst_exec._s3691/L0C8, L_inst_exec._s3691/L0C9, C<1>, C<1>;
L_inst_exec._s3691 .functor AND, L_inst_exec._s3691/L1C0, L_inst_exec._s3691/L1C1, L_inst_exec._s3691/L1C2, C<1>;
L_inst_exec._s3696/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3696/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3696/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3696/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3696/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3696/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3696/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3696/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3696/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3696/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3696/L1C0 .functor AND, L_inst_exec._s3696/L0C0, L_inst_exec._s3696/L0C1, L_inst_exec._s3696/L0C2, L_inst_exec._s3696/L0C3;
L_inst_exec._s3696/L1C1 .functor AND, L_inst_exec._s3696/L0C4, L_inst_exec._s3696/L0C5, L_inst_exec._s3696/L0C6, L_inst_exec._s3696/L0C7;
L_inst_exec._s3696/L1C2 .functor AND, L_inst_exec._s3696/L0C8, L_inst_exec._s3696/L0C9, C<1>, C<1>;
L_inst_exec._s3696 .functor AND, L_inst_exec._s3696/L1C0, L_inst_exec._s3696/L1C1, L_inst_exec._s3696/L1C2, C<1>;
L_inst_exec._s3701/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3701/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3701/L0C2 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3701/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3701/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3701/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3701/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3701/L0C7 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3701/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3701/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3701/L1C0 .functor AND, L_inst_exec._s3701/L0C0, L_inst_exec._s3701/L0C1, L_inst_exec._s3701/L0C2, L_inst_exec._s3701/L0C3;
L_inst_exec._s3701/L1C1 .functor AND, L_inst_exec._s3701/L0C4, L_inst_exec._s3701/L0C5, L_inst_exec._s3701/L0C6, L_inst_exec._s3701/L0C7;
L_inst_exec._s3701/L1C2 .functor AND, L_inst_exec._s3701/L0C8, L_inst_exec._s3701/L0C9, C<1>, C<1>;
L_inst_exec._s3701 .functor AND, L_inst_exec._s3701/L1C0, L_inst_exec._s3701/L1C1, L_inst_exec._s3701/L1C2, C<1>;
L_inst_exec._s3722/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3722/L0C1 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3722/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3722/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3722 .functor AND, L_inst_exec._s3722/L0C0, L_inst_exec._s3722/L0C1, L_inst_exec._s3722/L0C2, L_inst_exec._s3722/L0C3;
L_inst_exec._s3738/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3738/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3738/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3738/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3738/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3738/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3738/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3738/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3738/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3738/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3738/L1C0 .functor AND, L_inst_exec._s3738/L0C0, L_inst_exec._s3738/L0C1, L_inst_exec._s3738/L0C2, L_inst_exec._s3738/L0C3;
L_inst_exec._s3738/L1C1 .functor AND, L_inst_exec._s3738/L0C4, L_inst_exec._s3738/L0C5, L_inst_exec._s3738/L0C6, L_inst_exec._s3738/L0C7;
L_inst_exec._s3738/L1C2 .functor AND, L_inst_exec._s3738/L0C8, L_inst_exec._s3738/L0C9, C<1>, C<1>;
L_inst_exec._s3738 .functor AND, L_inst_exec._s3738/L1C0, L_inst_exec._s3738/L1C1, L_inst_exec._s3738/L1C2, C<1>;
L_inst_exec._s3742/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3742/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3742/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3742/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3742/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3742/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3742/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3742/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3742/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3742/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3742/L1C0 .functor AND, L_inst_exec._s3742/L0C0, L_inst_exec._s3742/L0C1, L_inst_exec._s3742/L0C2, L_inst_exec._s3742/L0C3;
L_inst_exec._s3742/L1C1 .functor AND, L_inst_exec._s3742/L0C4, L_inst_exec._s3742/L0C5, L_inst_exec._s3742/L0C6, L_inst_exec._s3742/L0C7;
L_inst_exec._s3742/L1C2 .functor AND, L_inst_exec._s3742/L0C8, L_inst_exec._s3742/L0C9, C<1>, C<1>;
L_inst_exec._s3742 .functor AND, L_inst_exec._s3742/L1C0, L_inst_exec._s3742/L1C1, L_inst_exec._s3742/L1C2, C<1>;
L_inst_exec._s3746/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3746/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3746/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3746/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3746/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3746/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3746/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3746/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3746/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3746/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3746/L1C0 .functor AND, L_inst_exec._s3746/L0C0, L_inst_exec._s3746/L0C1, L_inst_exec._s3746/L0C2, L_inst_exec._s3746/L0C3;
L_inst_exec._s3746/L1C1 .functor AND, L_inst_exec._s3746/L0C4, L_inst_exec._s3746/L0C5, L_inst_exec._s3746/L0C6, L_inst_exec._s3746/L0C7;
L_inst_exec._s3746/L1C2 .functor AND, L_inst_exec._s3746/L0C8, L_inst_exec._s3746/L0C9, C<1>, C<1>;
L_inst_exec._s3746 .functor AND, L_inst_exec._s3746/L1C0, L_inst_exec._s3746/L1C1, L_inst_exec._s3746/L1C2, C<1>;
L_inst_exec._s3751/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3751/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3751/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3751/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3751/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3751/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3751/L0C6 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3751/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3751/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3751/L0C9 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3751/L1C0 .functor AND, L_inst_exec._s3751/L0C0, L_inst_exec._s3751/L0C1, L_inst_exec._s3751/L0C2, L_inst_exec._s3751/L0C3;
L_inst_exec._s3751/L1C1 .functor AND, L_inst_exec._s3751/L0C4, L_inst_exec._s3751/L0C5, L_inst_exec._s3751/L0C6, L_inst_exec._s3751/L0C7;
L_inst_exec._s3751/L1C2 .functor AND, L_inst_exec._s3751/L0C8, L_inst_exec._s3751/L0C9, C<1>, C<1>;
L_inst_exec._s3751 .functor AND, L_inst_exec._s3751/L1C0, L_inst_exec._s3751/L1C1, L_inst_exec._s3751/L1C2, C<1>;
L_inst_exec._s3755/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3755/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3755/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3755/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3755/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3755/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3755/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3755/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3755/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3755/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3755/L1C0 .functor AND, L_inst_exec._s3755/L0C0, L_inst_exec._s3755/L0C1, L_inst_exec._s3755/L0C2, L_inst_exec._s3755/L0C3;
L_inst_exec._s3755/L1C1 .functor AND, L_inst_exec._s3755/L0C4, L_inst_exec._s3755/L0C5, L_inst_exec._s3755/L0C6, L_inst_exec._s3755/L0C7;
L_inst_exec._s3755/L1C2 .functor AND, L_inst_exec._s3755/L0C8, L_inst_exec._s3755/L0C9, C<1>, C<1>;
L_inst_exec._s3755 .functor AND, L_inst_exec._s3755/L1C0, L_inst_exec._s3755/L1C1, L_inst_exec._s3755/L1C2, C<1>;
L_inst_exec._s3760/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3760/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3760/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3760/L0C3 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3760/L0C4 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3760/L0C5 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3760/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3760/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3760/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3760/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3760/L1C0 .functor AND, L_inst_exec._s3760/L0C0, L_inst_exec._s3760/L0C1, L_inst_exec._s3760/L0C2, L_inst_exec._s3760/L0C3;
L_inst_exec._s3760/L1C1 .functor AND, L_inst_exec._s3760/L0C4, L_inst_exec._s3760/L0C5, L_inst_exec._s3760/L0C6, L_inst_exec._s3760/L0C7;
L_inst_exec._s3760/L1C2 .functor AND, L_inst_exec._s3760/L0C8, L_inst_exec._s3760/L0C9, C<1>, C<1>;
L_inst_exec._s3760 .functor AND, L_inst_exec._s3760/L1C0, L_inst_exec._s3760/L1C1, L_inst_exec._s3760/L1C2, C<1>;
L_inst_exec._s3764/L0C0 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3764/L0C1 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3764/L0C2 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3764/L0C3 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3764/L0C4 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3764/L0C5 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3764/L0C6 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3764/L0C7 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3764/L0C8 .functor XNOR, C<0>, C<z>, C<0>, C<0>;
L_inst_exec._s3764/L0C9 .functor XNOR, C<1>, C<z>, C<0>, C<0>;
L_inst_exec._s3764/L1C0 .functor AND, L_inst_exec._s3764/L0C0, L_inst_exec._s3764/L0C1, L_inst_exec._s3764/L0C2, L_inst_exec._s3764/L0C3;
L_inst_exec._s3764/L1C1 .functor AND, L_inst_exec._s3764/L0C4, L_inst_exec._s3764/L0C5, L_inst_exec._s3764/L0C6, L_inst_exec._s3764/L0C7;
L_inst_exec._s3764/L1C2 .functor AND, L_inst_exec._s3764/L0C8, L_inst_exec._s3764/L0C9, C<1>, C<1>;
L_inst_exec._s3764 .functor AND, L_inst_exec._s3764/L1C0, L_inst_exec._s3764/L1C1, L_inst_exec._s3764/L1C2, C<1>;
.scope S_inst_exec;
T_0 ;
%wait E_inst_exec._s3797;
%load 8, V_$007837B0[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.0 ;
%load 8, V_$007AEC58[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.2, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.2 ;
%load 8, V_$007AECD8[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.4, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.4 ;
%load 8, V_$007B0558[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.6, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.6 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[4];
%load 13, V_$00665580[5];
%load 14, V_$00665580[6];
%load 15, V_$00665580[7];
%load 16, V_$00665580[8];
%load 17, V_$00665580[9];
%cmpi/u 8, 8, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.8, 8;
%load/v 8, V_$00835E30[0], 8;
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.8 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[4];
%load 13, V_$00665580[5];
%load 14, V_$00665580[6];
%load 15, V_$00665580[7];
%load 16, V_$00665580[8];
%load 17, V_$00665580[9];
%cmpi/u 8, 217, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.10, 8;
%load/v 8, V_$00835E30[0], 8;
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.10 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[4];
%load 13, V_$00665580[5];
%load 14, V_$00665580[6];
%load 15, V_$00665580[7];
%load 16, V_$00665580[8];
%load 17, V_$00665580[9];
%cmpi/u 8, 39, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.12, 8;
%load 8, V_$005EE088[0];
%load 9, V_$005EE088[1];
%load 10, V_$005EE088[2];
%load 11, V_$005EE088[3];
%load 12, V_$005EE088[4];
%load 13, V_$005EE088[5];
%load 14, V_$005EE088[6];
%load 15, V_$005EE088[7];
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.12 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[4];
%load 13, V_$00665580[5];
%load 14, V_$00665580[6];
%load 15, V_$00665580[7];
%load 16, V_$00665580[8];
%load 17, V_$00665580[9];
%cmpi/u 8, 47, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.14, 8;
%load/v 8, V_$008384B8[0], 8;
%inv 8, 8;
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.14 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[4];
%load 13, V_$00665580[5];
%load 14, V_$00665580[6];
%load 15, V_$00665580[7];
%load 16, V_$00665580[8];
%load 17, V_$00665580[9];
%cmpi/u 8, 241, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.16, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.16 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 7, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.18, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.18 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 7, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.20, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.20 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[6];
%load 13, V_$00665580[7];
%load 14, V_$00665580[8];
%load 15, V_$00665580[9];
%cmpi/u 8, 615, 8;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.22, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%ix/load 0, 4;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.22 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[6];
%load 13, V_$00665580[7];
%load 14, V_$00665580[8];
%load 15, V_$00665580[9];
%cmpi/u 8, 623, 8;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.24, 8;
%load 8, V_$00684308[4];
%load 9, V_$00684308[5];
%load 10, V_$00684308[6];
%load 11, V_$00684308[7];
%ix/load 0, 4;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.24 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[6];
%load 12, V_$00665580[7];
%load 13, V_$00665580[8];
%load 14, V_$00665580[9];
%cmpi/u 8, 76, 7;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.26, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.26 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[4];
%load 13, V_$00665580[5];
%load 14, V_$00665580[6];
%load 15, V_$00665580[7];
%load 16, V_$00665580[8];
%load 17, V_$00665580[9];
%cmpi/u 8, 599, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_0.28, 8;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[4];
%load 13, V_$00665580[5];
%load 14, V_$00665580[6];
%load 15, V_$00665580[7];
%load 16, V_$00665580[8];
%load 17, V_$00665580[9];
%ix/load 0, 8;
%assign/v0 V_$008384B8[0], 0, 8;
T_0.28 ;
%jmp T_0;
.thread T_0;
.scope S_inst_exec;
T_1 ;
%wait E_inst_exec._s3797;
%load 8, V_$0076EDA8[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.0 ;
%load 8, V_$007AB5E0[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.2, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.2 ;
%load 8, V_$007AB7B8[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.4, 8;
%load 8, V_$00824B40[8];
%load 9, V_$00824B40[9];
%load 10, V_$00824B40[10];
%load 11, V_$00824B40[11];
%load 12, V_$00824B40[12];
%load 13, V_$00824B40[13];
%load 14, V_$00824B40[14];
%load 15, V_$00824B40[15];
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.4 ;
%mov 8, 1, 1;
%mov 9, 0, 9;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.6, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.6 ;
%mov 8, 1, 1;
%mov 9, 0, 5;
%mov 14, 1, 2;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.8, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.8 ;
%mov 8, 1, 1;
%mov 9, 0, 2;
%mov 11, 1, 2;
%mov 13, 0, 1;
%mov 14, 1, 2;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.10, 8;
%load/v 8, V_$0076E428[0], 8;
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.10 ;
%mov 8, 0, 1;
%mov 9, 1, 2;
%mov 11, 0, 7;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.12, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.12 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 0, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.14, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.14 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 0, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.16, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.16 ;
%mov 8, 0, 4;
%mov 12, 1, 1;
%mov 13, 0, 5;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.18, 8;
%load/v 8, V_$0076D350[0], 8;
%addi 8, 255, 8;
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.18 ;
%load 8, V_$0064F2E8[0];
%load 9, V_$006470D0[0];
%or 8, 9, 1;
%jmp/0xz T_1.20, 8;
%load 8, V_$0065B178[0];
%load 9, V_$0065B178[1];
%load 10, V_$0065B178[2];
%load 11, V_$0065B178[3];
%load 12, V_$0065B178[4];
%load 13, V_$0065B178[5];
%load 14, V_$0065B178[6];
%load 15, V_$0065B178[7];
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.20 ;
%mov 8, 0, 3;
%mov 11, 1, 1;
%mov 12, 0, 2;
%mov 14, 1, 1;
%load 15, V_$00665580[0];
%load 16, V_$00665580[1];
%load 17, V_$00665580[2];
%load 18, V_$00665580[6];
%load 19, V_$00665580[7];
%mov 20, 0, 2;
%cmp/u 8, 15, 7;
%mov 8, 4, 1;
%load 9, V_$00665580[3];
%load 10, V_$00665580[4];
%load 11, V_$00665580[5];
%cmpi/u 9, 0, 3;
%mov 9, 4, 1;
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_1.22, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$0076D350[0], 0, 8;
T_1.22 ;
%jmp T_1;
.thread T_1;
.scope S_inst_exec;
T_2 ;
%wait E_inst_exec._s3797;
%load 8, V_$0076CAA0[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_2.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.0 ;
%load 8, V_$007A44F0[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_2.2, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.2 ;
%load 8, V_$007A4488[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_2.4, 8;
%load 8, V_$00824B40[0];
%load 9, V_$00824B40[1];
%load 10, V_$00824B40[2];
%load 11, V_$00824B40[3];
%load 12, V_$00824B40[4];
%load 13, V_$00824B40[5];
%load 14, V_$00824B40[6];
%load 15, V_$00824B40[7];
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.4 ;
%mov 8, 1, 1;
%mov 9, 0, 9;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_2.6, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.6 ;
%mov 8, 1, 1;
%mov 9, 0, 5;
%mov 14, 1, 2;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_2.8, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.8 ;
%mov 8, 1, 1;
%mov 9, 0, 2;
%mov 11, 1, 2;
%mov 13, 0, 1;
%mov 14, 1, 2;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_2.10, 8;
%load/v 8, V_$006A4E00[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.10 ;
%mov 8, 0, 1;
%mov 9, 1, 3;
%mov 12, 0, 6;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_2.12, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.12 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 1, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_2.14, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.14 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 1, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_2.16, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.16 ;
%load 8, V_$006470D0[0];
%jmp/0xz T_2.18, 8;
%load 8, V_$0065F348[0];
%load 9, V_$0065F348[1];
%load 10, V_$0065F348[2];
%load 11, V_$0065F348[3];
%load 12, V_$0065F348[4];
%load 13, V_$0065F348[5];
%load 14, V_$0065F348[6];
%load 15, V_$0065F348[7];
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.18 ;
%mov 8, 0, 3;
%mov 11, 1, 1;
%mov 12, 0, 2;
%mov 14, 1, 1;
%load 15, V_$00665580[0];
%load 16, V_$00665580[1];
%load 17, V_$00665580[2];
%load 18, V_$00665580[6];
%load 19, V_$00665580[7];
%mov 20, 0, 2;
%cmp/u 8, 15, 7;
%mov 8, 4, 1;
%load 9, V_$00665580[3];
%load 10, V_$00665580[4];
%load 11, V_$00665580[5];
%cmpi/u 9, 1, 3;
%mov 9, 4, 1;
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_2.20, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00639CB8[0], 0, 8;
T_2.20 ;
%jmp T_2;
.thread T_2;
.scope S_inst_exec;
T_3 ;
%wait E_inst_exec._s3797;
%load 8, V_$00760128[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.0 ;
%load 8, V_$00790C28[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.2, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.2 ;
%load 8, V_$0079DBD8[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.4, 8;
%load 8, V_$00824B40[8];
%load 9, V_$00824B40[9];
%load 10, V_$00824B40[10];
%load 11, V_$00824B40[11];
%load 12, V_$00824B40[12];
%load 13, V_$00824B40[13];
%load 14, V_$00824B40[14];
%load 15, V_$00824B40[15];
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.4 ;
%mov 8, 1, 1;
%mov 9, 0, 3;
%mov 12, 1, 1;
%mov 13, 0, 5;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.6, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.6 ;
%mov 8, 1, 1;
%mov 9, 0, 3;
%mov 12, 1, 1;
%mov 13, 0, 1;
%mov 14, 1, 2;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.8, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.8 ;
%mov 8, 1, 1;
%mov 9, 0, 2;
%mov 11, 1, 2;
%mov 13, 0, 1;
%mov 14, 1, 2;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.10, 8;
%load/v 8, V_$00654D40[0], 8;
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.10 ;
%mov 8, 1, 2;
%mov 10, 0, 1;
%mov 11, 1, 1;
%mov 12, 0, 1;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.12, 8;
%load/v 8, V_$006AFC08[0], 8;
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.12 ;
%mov 8, 0, 1;
%mov 9, 1, 2;
%mov 11, 0, 1;
%mov 12, 1, 1;
%mov 13, 0, 5;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.14, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.14 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 2, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.16, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.16 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 2, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.18, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.18 ;
%mov 8, 0, 3;
%mov 11, 1, 1;
%mov 12, 0, 2;
%mov 14, 1, 1;
%load 15, V_$00665580[0];
%load 16, V_$00665580[1];
%load 17, V_$00665580[2];
%load 18, V_$00665580[6];
%load 19, V_$00665580[7];
%mov 20, 0, 2;
%cmp/u 8, 15, 7;
%mov 8, 4, 1;
%load 9, V_$00665580[3];
%load 10, V_$00665580[4];
%load 11, V_$00665580[5];
%cmpi/u 9, 2, 3;
%mov 9, 4, 1;
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_3.20, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$0065BD38[0], 0, 8;
T_3.20 ;
%jmp T_3;
.thread T_3;
.scope S_inst_exec;
T_4 ;
%wait E_inst_exec._s3797;
%load 8, V_$00759878[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.0 ;
%load 8, V_$0078B950[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.2, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.2 ;
%load 8, V_$00790CA8[0];
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.4, 8;
%load 8, V_$00824B40[0];
%load 9, V_$00824B40[1];
%load 10, V_$00824B40[2];
%load 11, V_$00824B40[3];
%load 12, V_$00824B40[4];
%load 13, V_$00824B40[5];
%load 14, V_$00824B40[6];
%load 15, V_$00824B40[7];
%load 16, V_$00824B40[8];
%load 17, V_$00824B40[9];
%load 18, V_$00824B40[10];
%load 19, V_$00824B40[11];
%load 20, V_$00824B40[12];
%load 21, V_$00824B40[13];
%load 22, V_$00824B40[14];
%load 23, V_$00824B40[15];
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.4 ;
%mov 8, 1, 1;
%mov 9, 0, 3;
%mov 12, 1, 1;
%mov 13, 0, 5;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.6, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.6 ;
%mov 8, 1, 1;
%mov 9, 0, 3;
%mov 12, 1, 1;
%mov 13, 0, 1;
%mov 14, 1, 2;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.8, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.8 ;
%mov 8, 1, 1;
%mov 9, 0, 2;
%mov 11, 1, 2;
%mov 13, 0, 1;
%mov 14, 1, 2;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.10, 8;
%load/v 8, V_$0064C850[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.10 ;
%mov 8, 1, 2;
%mov 10, 0, 1;
%mov 11, 1, 1;
%mov 12, 0, 1;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.12, 8;
%load/v 8, V_$006AFC08[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.12 ;
%mov 8, 0, 1;
%mov 9, 1, 4;
%mov 13, 0, 5;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.14, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.14 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 3, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.16, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.16 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 3, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.18, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.18 ;
%mov 8, 0, 3;
%mov 11, 1, 1;
%mov 12, 0, 2;
%mov 14, 1, 1;
%load 15, V_$00665580[0];
%load 16, V_$00665580[1];
%load 17, V_$00665580[2];
%load 18, V_$00665580[6];
%load 19, V_$00665580[7];
%mov 20, 0, 2;
%cmp/u 8, 15, 7;
%mov 8, 4, 1;
%load 9, V_$00665580[3];
%load 10, V_$00665580[4];
%load 11, V_$00665580[5];
%cmpi/u 9, 3, 3;
%mov 9, 4, 1;
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_4.20, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00643940[0], 0, 8;
T_4.20 ;
%jmp T_4;
.thread T_4;
.scope S_inst_exec;
T_5 ;
%wait E_inst_exec._s3797;
%load 8, V_$007415A0[0];
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_5.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.0 ;
%load 8, V_$008A3190[0];
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_5.2, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.2 ;
%load 8, V_$00785030[0];
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_5.4, 8;
%load 8, V_$00824B40[8];
%load 9, V_$00824B40[9];
%load 10, V_$00824B40[10];
%load 11, V_$00824B40[11];
%load 12, V_$00824B40[12];
%load 13, V_$00824B40[13];
%load 14, V_$00824B40[14];
%load 15, V_$00824B40[15];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.4 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_5.6, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.6 ;
%mov 8, 0, 1;
%mov 9, 1, 1;
%mov 10, 0, 1;
%mov 11, 1, 1;
%mov 12, 0, 1;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_5.8, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.8 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_5.10, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.10 ;
%mov 8, 1, 2;
%mov 10, 0, 3;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_5.12, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.12 ;
%mov 8, 1, 1;
%mov 9, 0, 2;
%mov 11, 1, 2;
%mov 13, 0, 1;
%mov 14, 1, 2;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_5.14, 8;
%load/v 8, V_$00667538[0], 8;
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.14 ;
%mov 8, 1, 2;
%mov 10, 0, 1;
%mov 11, 1, 1;
%mov 12, 0, 1;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_5.16, 8;
%load/v 8, V_$0065BD38[0], 8;
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.16 ;
%mov 8, 0, 1;
%mov 9, 1, 2;
%mov 11, 0, 2;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_5.18, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.18 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 4, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_5.20, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.20 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 4, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_5.22, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.22 ;
%mov 8, 0, 3;
%mov 11, 1, 1;
%mov 12, 0, 2;
%mov 14, 1, 1;
%load 15, V_$00665580[0];
%load 16, V_$00665580[1];
%load 17, V_$00665580[2];
%load 18, V_$00665580[6];
%load 19, V_$00665580[7];
%mov 20, 0, 2;
%cmp/u 8, 15, 7;
%mov 8, 4, 1;
%load 9, V_$00665580[3];
%load 10, V_$00665580[4];
%load 11, V_$00665580[5];
%cmpi/u 9, 4, 3;
%mov 9, 4, 1;
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_5.24, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$006AFC08[0], 0, 8;
T_5.24 ;
%jmp T_5;
.thread T_5;
.scope S_inst_exec;
T_6 ;
%wait E_inst_exec._s3797;
%load 8, V_$0072DA90[0];
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_6.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.0 ;
%load 8, V_$008A9090[0];
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_6.2, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.2 ;
%load 8, V_$00783748[0];
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_6.4, 8;
%load 8, V_$00824B40[0];
%load 9, V_$00824B40[1];
%load 10, V_$00824B40[2];
%load 11, V_$00824B40[3];
%load 12, V_$00824B40[4];
%load 13, V_$00824B40[5];
%load 14, V_$00824B40[6];
%load 15, V_$00824B40[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.4 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_6.6, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.6 ;
%mov 8, 0, 1;
%mov 9, 1, 1;
%mov 10, 0, 1;
%mov 11, 1, 1;
%mov 12, 0, 1;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_6.8, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.8 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_6.10, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.10 ;
%mov 8, 1, 2;
%mov 10, 0, 3;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_6.12, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.12 ;
%mov 8, 1, 1;
%mov 9, 0, 2;
%mov 11, 1, 2;
%mov 13, 0, 1;
%mov 14, 1, 2;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_6.14, 8;
%load/v 8, V_$007C2F50[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.14 ;
%mov 8, 1, 2;
%mov 10, 0, 1;
%mov 11, 1, 1;
%mov 12, 0, 1;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_6.16, 8;
%load/v 8, V_$00643940[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.16 ;
%mov 8, 0, 1;
%mov 9, 1, 3;
%mov 12, 0, 1;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_6.18, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.18 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 5, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_6.20, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.20 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 5, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$008033B8[0];
%and 8, 9, 1;
%jmp/0xz T_6.22, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.22 ;
%mov 8, 0, 3;
%mov 11, 1, 1;
%mov 12, 0, 2;
%mov 14, 1, 1;
%load 15, V_$00665580[0];
%load 16, V_$00665580[1];
%load 17, V_$00665580[2];
%load 18, V_$00665580[6];
%load 19, V_$00665580[7];
%mov 20, 0, 2;
%cmp/u 8, 15, 7;
%mov 8, 4, 1;
%load 9, V_$00665580[3];
%load 10, V_$00665580[4];
%load 11, V_$00665580[5];
%cmpi/u 9, 5, 3;
%mov 9, 4, 1;
%and 8, 9, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_6.24, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00801BB8[0], 0, 8;
T_6.24 ;
%jmp T_6;
.thread T_6;
.scope S_inst_exec;
T_7 ;
%wait E_inst_exec._s3797;
%load 8, V_$0072DA90[0];
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_7.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[0], 0, 8;
T_7.0 ;
%load 8, V_$008A9090[0];
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_7.2, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[0], 0, 8;
T_7.2 ;
%load 8, V_$00783748[0];
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_7.4, 8;
%load 8, V_$00824B40[0];
%load 9, V_$00824B40[1];
%load 10, V_$00824B40[2];
%load 11, V_$00824B40[3];
%load 12, V_$00824B40[4];
%load 13, V_$00824B40[5];
%load 14, V_$00824B40[6];
%load 15, V_$00824B40[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[0], 0, 8;
T_7.4 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_7.6, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[0], 0, 8;
T_7.6 ;
%mov 8, 0, 1;
%mov 9, 1, 1;
%mov 10, 0, 1;
%mov 11, 1, 1;
%mov 12, 0, 1;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_7.8, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[0], 0, 8;
T_7.8 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_7.10, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[0], 0, 8;
T_7.10 ;
%mov 8, 1, 2;
%mov 10, 0, 3;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_7.12, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[0], 0, 8;
T_7.12 ;
%mov 8, 0, 1;
%mov 9, 1, 3;
%mov 12, 0, 1;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_7.14, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[0], 0, 8;
T_7.14 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 5, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_7.16, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[0], 0, 8;
T_7.16 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 5, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_7.18, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[0], 0, 8;
T_7.18 ;
%jmp T_7;
.thread T_7;
.scope S_inst_exec;
T_8 ;
%wait E_inst_exec._s3797;
%load 8, V_$007415A0[0];
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_8.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[8], 0, 8;
T_8.0 ;
%load 8, V_$008A3190[0];
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_8.2, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[8], 0, 8;
T_8.2 ;
%load 8, V_$00785030[0];
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_8.4, 8;
%load 8, V_$00824B40[8];
%load 9, V_$00824B40[9];
%load 10, V_$00824B40[10];
%load 11, V_$00824B40[11];
%load 12, V_$00824B40[12];
%load 13, V_$00824B40[13];
%load 14, V_$00824B40[14];
%load 15, V_$00824B40[15];
%ix/load 0, 8;
%assign/v0 V_$00782F30[8], 0, 8;
T_8.4 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_8.6, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$00782F30[8], 0, 8;
T_8.6 ;
%mov 8, 0, 1;
%mov 9, 1, 1;
%mov 10, 0, 1;
%mov 11, 1, 1;
%mov 12, 0, 1;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_8.8, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$00782F30[8], 0, 8;
T_8.8 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_8.10, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$00782F30[8], 0, 8;
T_8.10 ;
%mov 8, 1, 2;
%mov 10, 0, 3;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_8.12, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$00782F30[8], 0, 8;
T_8.12 ;
%mov 8, 0, 1;
%mov 9, 1, 2;
%mov 11, 0, 2;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_8.14, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[8], 0, 8;
T_8.14 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 4, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_8.16, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[8], 0, 8;
T_8.16 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 4, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$00636B28[0];
%and 8, 9, 1;
%jmp/0xz T_8.18, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$00782F30[8], 0, 8;
T_8.18 ;
%jmp T_8;
.thread T_8;
.scope S_inst_exec;
T_9 ;
%wait E_inst_exec._s3797;
%load 8, V_$0072DA90[0];
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_9.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[0], 0, 8;
T_9.0 ;
%load 8, V_$008A9090[0];
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_9.2, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[0], 0, 8;
T_9.2 ;
%load 8, V_$00783748[0];
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_9.4, 8;
%load 8, V_$00824B40[0];
%load 9, V_$00824B40[1];
%load 10, V_$00824B40[2];
%load 11, V_$00824B40[3];
%load 12, V_$00824B40[4];
%load 13, V_$00824B40[5];
%load 14, V_$00824B40[6];
%load 15, V_$00824B40[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[0], 0, 8;
T_9.4 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_9.6, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[0], 0, 8;
T_9.6 ;
%mov 8, 0, 1;
%mov 9, 1, 1;
%mov 10, 0, 1;
%mov 11, 1, 1;
%mov 12, 0, 1;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_9.8, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[0], 0, 8;
T_9.8 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_9.10, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[0], 0, 8;
T_9.10 ;
%mov 8, 1, 2;
%mov 10, 0, 3;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_9.12, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[0], 0, 8;
T_9.12 ;
%mov 8, 0, 1;
%mov 9, 1, 3;
%mov 12, 0, 1;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_9.14, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[0], 0, 8;
T_9.14 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 5, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_9.16, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[0], 0, 8;
T_9.16 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 5, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_9.18, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[0], 0, 8;
T_9.18 ;
%jmp T_9;
.thread T_9;
.scope S_inst_exec;
T_10 ;
%wait E_inst_exec._s3797;
%load 8, V_$007415A0[0];
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_10.0, 8;
%load 8, V_$008337A8[0];
%load 9, V_$008337A8[1];
%load 10, V_$008337A8[2];
%load 11, V_$008337A8[3];
%load 12, V_$008337A8[4];
%load 13, V_$008337A8[5];
%load 14, V_$008337A8[6];
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[8], 0, 8;
T_10.0 ;
%load 8, V_$008A3190[0];
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_10.2, 8;
%load 8, V_$00817C70[0];
%load 9, V_$00817C70[1];
%load 10, V_$00817C70[2];
%load 11, V_$00817C70[3];
%load 12, V_$00817C70[4];
%load 13, V_$00817C70[5];
%load 14, V_$00817C70[6];
%load 15, V_$00817C70[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[8], 0, 8;
T_10.2 ;
%load 8, V_$00785030[0];
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_10.4, 8;
%load 8, V_$00824B40[8];
%load 9, V_$00824B40[9];
%load 10, V_$00824B40[10];
%load 11, V_$00824B40[11];
%load 12, V_$00824B40[12];
%load 13, V_$00824B40[13];
%load 14, V_$00824B40[14];
%load 15, V_$00824B40[15];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[8], 0, 8;
T_10.4 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_10.6, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[8], 0, 8;
T_10.6 ;
%mov 8, 0, 1;
%mov 9, 1, 1;
%mov 10, 0, 1;
%mov 11, 1, 1;
%mov 12, 0, 1;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_10.8, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[8], 0, 8;
T_10.8 ;
%mov 8, 1, 1;
%mov 9, 0, 4;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_10.10, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[8], 0, 8;
T_10.10 ;
%mov 8, 1, 2;
%mov 10, 0, 3;
%mov 13, 1, 3;
%mov 16, 0, 2;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_10.12, 8;
%load 8, V_$00684308[8];
%load 9, V_$00684308[9];
%load 10, V_$00684308[10];
%load 11, V_$00684308[11];
%load 12, V_$00684308[12];
%load 13, V_$00684308[13];
%load 14, V_$00684308[14];
%load 15, V_$00684308[15];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[8], 0, 8;
T_10.12 ;
%mov 8, 0, 1;
%mov 9, 1, 2;
%mov 11, 0, 2;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_10.14, 8;
%load 8, V_$00684308[0];
%load 9, V_$00684308[1];
%load 10, V_$00684308[2];
%load 11, V_$00684308[3];
%load 12, V_$00684308[4];
%load 13, V_$00684308[5];
%load 14, V_$00684308[6];
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[8], 0, 8;
T_10.14 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 4, 3;
%mov 8, 4, 1;
%load 9, V_$0083B3C0[0];
%and 8, 9, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_10.16, 8;
%load 8, V_$0083AB40[0];
%load 9, V_$0083AB40[1];
%load 10, V_$0083AB40[2];
%load 11, V_$0083AB40[3];
%load 12, V_$0083AB40[4];
%load 13, V_$0083AB40[5];
%load 14, V_$0083AB40[6];
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[8], 0, 8;
T_10.16 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%cmpi/u 8, 4, 3;
%mov 8, 4, 1;
%load 9, V_$0065F3F0[0];
%and 8, 9, 1;
%load 9, V_$00634860[0];
%and 8, 9, 1;
%jmp/0xz T_10.18, 8;
%load 8, V_$006C7F60[0];
%load 9, V_$006C7F60[1];
%load 10, V_$006C7F60[2];
%load 11, V_$006C7F60[3];
%load 12, V_$006C7F60[4];
%load 13, V_$006C7F60[5];
%load 14, V_$006C7F60[6];
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$005F5B10[8], 0, 8;
T_10.18 ;
%jmp T_10;
.thread T_10;
.scope S_inst_exec;
T_11 ;
%wait E_inst_exec._s3797;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[4];
%load 13, V_$00665580[5];
%load 14, V_$00665580[6];
%load 15, V_$00665580[7];
%load 16, V_$00665580[8];
%load 17, V_$00665580[9];
%cmpi/u 8, 8, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_11.0, 8;
%load/v 8, V_$008384B8[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00835E30[0], 0, 8;
%load/v 8, V_$00841610[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00842228[0], 0, 8;
T_11.0 ;
%load 8, V_$00665580[0];
%load 9, V_$00665580[1];
%load 10, V_$00665580[2];
%load 11, V_$00665580[3];
%load 12, V_$00665580[4];
%load 13, V_$00665580[5];
%load 14, V_$00665580[6];
%load 15, V_$00665580[7];
%load 16, V_$00665580[8];
%load 17, V_$00665580[9];
%cmpi/u 8, 217, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_11.2, 8;
%load/v 8, V_$008384B8[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00835E30[0], 0, 8;
%load/v 8, V_$00841610[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00842228[0], 0, 8;
%load/v 8, V_$0076D350[0], 8;
%ix/load 0, 8;
%assign/v0 V_$0076E428[0], 0, 8;
%load/v 8, V_$00639CB8[0], 8;
%ix/load 0, 8;
%assign/v0 V_$006A4E00[0], 0, 8;
%load/v 8, V_$0065BD38[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00654D40[0], 0, 8;
%load/v 8, V_$00643940[0], 8;
%ix/load 0, 8;
%assign/v0 V_$0064C850[0], 0, 8;
%load/v 8, V_$006AFC08[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00667538[0], 0, 8;
%load/v 8, V_$00801BB8[0], 8;
%ix/load 0, 8;
%assign/v0 V_$007C2F50[0], 0, 8;
T_11.2 ;
%jmp T_11;
.thread T_11;
.scope S_inst_exec;
T_12 ;
%wait E_inst_exec._s3797;
%load 8, V_$0066D540[0];
%jmp/0xz T_12.0, 8;
%load 8, V_$00750600[0];
%jmp/0xz T_12.2, 8;
%load 8, V_$0082C770[0];
%load 9, V_$0082C770[1];
%load 10, V_$0082C770[2];
%load 11, V_$0082C770[3];
%load 12, V_$0082C770[4];
%load 13, V_$0082C770[5];
%load 14, V_$0082C770[6];
%load 15, V_$0082C770[7];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.2 ;
%load 8, V_$00756E70[0];
%jmp/0xz T_12.4, 8;
%load 8, V_$00802780[0];
%mov 9, 0, 1;
%load 10, V_$0065BB08[0];
%load 11, V_$00824B40[11];
%load 12, V_$005E9D60[0];
%load 13, V_$00824B40[13];
%load 14, V_$0071F380[0];
%load 15, V_$006C8130[0];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.4 ;
%load 8, V_$007497B8[0];
%jmp/0xz T_12.6, 8;
%load 8, V_$00802780[0];
%load 16, V_$00665580[3];
%inv 16, 1;
%mov 9, 16, 1;
%load 10, V_$00826BE0[0];
%load 11, V_$00824B40[11];
%load 12, V_$007559C0[0];
%load 13, V_$00824B40[13];
%load 16, V_$00824B40[0];
%load 17, V_$00824B40[1];
%load 18, V_$00824B40[2];
%load 19, V_$00824B40[3];
%load 20, V_$00824B40[4];
%load 21, V_$00824B40[5];
%load 22, V_$00824B40[6];
%load 23, V_$00824B40[7];
%load 24, V_$00824B40[8];
%load 25, V_$00824B40[9];
%load 26, V_$00824B40[10];
%load 27, V_$00824B40[11];
%load 28, V_$00824B40[12];
%load 29, V_$00824B40[13];
%load 30, V_$00824B40[14];
%load 31, V_$00824B40[15];
%nor/r 16, 16, 16;
%mov 14, 16, 1;
%load 15, V_$00824B40[15];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.6 ;
%load 8, V_$00742EA8[0];
%jmp/0xz T_12.8, 8;
%load 8, V_$0065BDE0[0];
%mov 9, 0, 1;
%load 10, V_$0065BB08[0];
%load 11, V_$006C7F60[3];
%mov 12, 0, 1;
%load 13, V_$006C7F60[5];
%load 14, V_$0071F380[0];
%load 15, V_$006C8130[0];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.8 ;
%load 8, V_$00749750[0];
%jmp/0xz T_12.10, 8;
%load 8, V_$0065BDE0[0];
%mov 9, 0, 1;
%load 16, V_$006C7F60[0];
%load 17, V_$006C7F60[1];
%load 18, V_$006C7F60[2];
%load 19, V_$006C7F60[3];
%load 20, V_$006C7F60[4];
%load 21, V_$006C7F60[5];
%load 22, V_$006C7F60[6];
%load 23, V_$006C7F60[7];
%xnor/r 16, 16, 8;
%mov 10, 16, 1;
%load 11, V_$006C7F60[3];
%mov 12, 0, 1;
%load 13, V_$006C7F60[5];
%load 16, V_$006C7F60[0];
%load 17, V_$006C7F60[1];
%load 18, V_$006C7F60[2];
%load 19, V_$006C7F60[3];
%load 20, V_$006C7F60[4];
%load 21, V_$006C7F60[5];
%load 22, V_$006C7F60[6];
%load 23, V_$006C7F60[7];
%nor/r 16, 16, 8;
%mov 14, 16, 1;
%load 15, V_$006C7F60[7];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.10 ;
%mov 8, 1, 1;
%mov 9, 0, 1;
%mov 10, 1, 1;
%mov 11, 0, 1;
%load 12, V_$00665580[6];
%load 13, V_$00665580[7];
%load 14, V_$00665580[8];
%load 15, V_$00665580[9];
%cmp/u 8, 12, 4;
%mov 8, 4, 1;
%jmp/0xz T_12.12, 8;
%load 8, V_$0073ED20[0];
%mov 9, 0, 1;
%load 16, V_$0083AB40[0];
%load 17, V_$0083AB40[1];
%load 18, V_$0083AB40[2];
%load 19, V_$0083AB40[3];
%load 20, V_$0083AB40[4];
%load 21, V_$0083AB40[5];
%load 22, V_$0083AB40[6];
%load 23, V_$0083AB40[7];
%nor/r 16, 16, 8;
%mov 10, 16, 1;
%load 11, V_$0083AB40[3];
%mov 12, 1, 1;
%load 13, V_$0083AB40[5];
%load 16, V_$0083AB40[0];
%load 17, V_$0083AB40[1];
%load 18, V_$0083AB40[2];
%load 19, V_$0083AB40[3];
%load 20, V_$0083AB40[4];
%load 21, V_$0083AB40[5];
%load 22, V_$0083AB40[6];
%load 23, V_$0083AB40[7];
%nor/r 16, 16, 8;
%mov 14, 16, 1;
%load 15, V_$0083AB40[7];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.12 ;
%load 8, V_$0073EF50[0];
%jmp/0xz T_12.14, 8;
%load 8, V_$0073ED20[0];
%mov 9, 1, 1;
%load 10, V_$008337A8[7];
%load 11, V_$008337A8[3];
%load 12, V_$0082EC48[0];
%load 13, V_$008337A8[5];
%load 16, V_$008337A8[0];
%load 17, V_$008337A8[1];
%load 18, V_$008337A8[2];
%load 19, V_$008337A8[3];
%load 20, V_$008337A8[4];
%load 21, V_$008337A8[5];
%load 22, V_$008337A8[6];
%load 23, V_$008337A8[7];
%nor/r 16, 16, 8;
%mov 14, 16, 1;
%load 15, V_$008337A8[7];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.14 ;
%mov 8, 0, 3;
%mov 11, 1, 1;
%mov 12, 0, 2;
%mov 14, 1, 1;
%load 15, V_$00665580[0];
%load 16, V_$00665580[1];
%load 17, V_$00665580[2];
%load 18, V_$00665580[6];
%load 19, V_$00665580[7];
%mov 20, 0, 2;
%cmp/u 8, 15, 7;
%mov 8, 4, 1;
%jmp/0xz T_12.16, 8;
%load 8, V_$0073ED20[0];
%mov 9, 0, 1;
%load 16, V_$00684308[0];
%load 17, V_$00684308[1];
%load 18, V_$00684308[2];
%load 19, V_$00684308[3];
%load 20, V_$00684308[4];
%load 21, V_$00684308[5];
%load 22, V_$00684308[6];
%load 23, V_$00684308[7];
%xnor/r 16, 16, 8;
%mov 10, 16, 1;
%load 11, V_$00684308[3];
%mov 12, 0, 1;
%load 13, V_$00684308[5];
%load 16, V_$00684308[0];
%load 17, V_$00684308[1];
%load 18, V_$00684308[2];
%load 19, V_$00684308[3];
%load 20, V_$00684308[4];
%load 21, V_$00684308[5];
%load 22, V_$00684308[6];
%load 23, V_$00684308[7];
%nor/r 16, 16, 8;
%mov 14, 16, 1;
%load 15, V_$00684308[7];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.16 ;
%mov 8, 1, 6;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%jmp/0xz T_12.18, 8;
%load 16, V_$0073ED20[0];
%inv 16, 1;
%mov 8, 16, 1;
%load 9, V_$00685890[0];
%load 10, V_$0065BB08[0];
%load 11, V_$00634610[0];
%load 12, V_$0073ED20[0];
%load 13, V_$00634290[0];
%load 14, V_$0071F380[0];
%load 15, V_$006C8130[0];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.18 ;
%mov 8, 1, 4;
%mov 12, 0, 1;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%jmp/0xz T_12.20, 8;
%load 8, V_$0073ED20[0];
%mov 9, 1, 1;
%load 10, V_$0065BB08[0];
%load/v 11, V_$008384B8[3], 1;
%mov 12, 1, 1;
%load/v 13, V_$008384B8[5], 1;
%load 14, V_$0071F380[0];
%load 15, V_$006C8130[0];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.20 ;
%mov 8, 1, 3;
%mov 11, 0, 2;
%mov 13, 1, 1;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%jmp/0xz T_12.22, 8;
%load 8, V_$00628E58[0];
%load 9, V_$00685890[0];
%load 16, V_$005EE088[0];
%load 17, V_$005EE088[1];
%load 18, V_$005EE088[2];
%load 19, V_$005EE088[3];
%load 20, V_$005EE088[4];
%load 21, V_$005EE088[5];
%load 22, V_$005EE088[6];
%load 23, V_$005EE088[7];
%xnor/r 16, 16, 8;
%mov 10, 16, 1;
%load 11, V_$005EE088[3];
%mov 12, 0, 1;
%load 13, V_$005EE088[5];
%load 16, V_$005EE088[0];
%load 17, V_$005EE088[1];
%load 18, V_$005EE088[2];
%load 19, V_$005EE088[3];
%load 20, V_$005EE088[4];
%load 21, V_$005EE088[5];
%load 22, V_$005EE088[6];
%load 23, V_$005EE088[7];
%nor/r 16, 16, 8;
%mov 14, 16, 1;
%load 15, V_$005EE088[7];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.22 ;
%mov 8, 1, 3;
%mov 11, 0, 1;
%mov 12, 1, 2;
%mov 14, 0, 4;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%jmp/0xz T_12.24, 8;
%mov 8, 1, 1;
%mov 9, 0, 1;
%load 10, V_$0065BB08[0];
%load/v 11, V_$008384B8[3], 1;
%mov 12, 0, 1;
%load/v 13, V_$008384B8[5], 1;
%load 14, V_$0071F380[0];
%load 15, V_$006C8130[0];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.24 ;
%mov 8, 1, 3;
%mov 11, 0, 2;
%mov 13, 1, 2;
%mov 15, 0, 2;
%mov 17, 1, 1;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%jmp/0xz T_12.26, 8;
%load 8, V_$0073ED20[0];
%mov 9, 0, 1;
%load 16, V_$00684308[0];
%load 17, V_$00684308[1];
%load 18, V_$00684308[2];
%load 19, V_$00684308[3];
%load/v 20, V_$008384B8[4], 4;
%xnor/r 16, 16, 8;
%mov 10, 16, 1;
%load/v 11, V_$008384B8[3], 1;
%mov 12, 0, 1;
%load/v 13, V_$008384B8[5], 1;
%load 16, V_$00684308[0];
%load 17, V_$00684308[1];
%load 18, V_$00684308[2];
%load 19, V_$00684308[3];
%load/v 20, V_$008384B8[4], 4;
%nor/r 16, 16, 8;
%mov 14, 16, 1;
%load 15, V_$006C8130[0];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.26 ;
%mov 8, 1, 4;
%mov 12, 0, 1;
%mov 13, 1, 2;
%mov 15, 0, 2;
%mov 17, 1, 1;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%jmp/0xz T_12.28, 8;
%load 8, V_$0073ED20[0];
%mov 9, 0, 1;
%load 16, V_$00684308[4];
%load 17, V_$00684308[5];
%load 18, V_$00684308[6];
%load 19, V_$00684308[7];
%load/v 20, V_$008384B8[4], 4;
%xnor/r 16, 16, 8;
%mov 10, 16, 1;
%load/v 11, V_$008384B8[3], 1;
%mov 12, 0, 1;
%load/v 13, V_$008384B8[5], 1;
%load 16, V_$00684308[4];
%load 17, V_$00684308[5];
%load 18, V_$00684308[6];
%load 19, V_$00684308[7];
%load/v 20, V_$008384B8[4], 4;
%nor/r 16, 16, 8;
%mov 14, 16, 1;
%load 15, V_$006C8130[0];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.28 ;
%mov 8, 1, 3;
%mov 11, 0, 1;
%mov 12, 1, 1;
%mov 13, 0, 1;
%mov 14, 1, 1;
%mov 15, 0, 2;
%mov 17, 1, 1;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%jmp/0xz T_12.30, 8;
%load 8, V_$0073ED20[0];
%mov 9, 0, 1;
%load 10, V_$0069FF10[0];
%load 11, V_$00665580[3];
%mov 12, 0, 1;
%load 13, V_$00665580[5];
%load 16, V_$00665580[0];
%load 17, V_$00665580[1];
%load 18, V_$00665580[2];
%load 19, V_$00665580[3];
%load 20, V_$00665580[4];
%load 21, V_$00665580[5];
%load 22, V_$00665580[6];
%load 23, V_$00665580[7];
%load 24, V_$00665580[8];
%load 25, V_$00665580[9];
%nor/r 16, 16, 10;
%mov 14, 16, 1;
%load 15, V_$00665580[7];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.30 ;
T_12.0 ;
%load 8, V_$0064F2E8[0];
%jmp/0xz T_12.32, 8;
%load 8, V_$0073ED20[0];
%mov 9, 0, 1;
%load 10, V_$0065BB08[0];
%load 11, V_$0065B178[3];
%load 12, V_$00668600[0];
%load 13, V_$0065B178[5];
%load 16, V_$0065B178[0];
%load 17, V_$0065B178[1];
%load 18, V_$0065B178[2];
%load 19, V_$0065B178[3];
%load 20, V_$0065B178[4];
%load 21, V_$0065B178[5];
%load 22, V_$0065B178[6];
%load 23, V_$0065B178[7];
%nor/r 16, 16, 8;
%mov 14, 16, 1;
%load 15, V_$0065B178[7];
%ix/load 0, 8;
%assign/v0 V_$00841610[0], 0, 8;
T_12.32 ;
%load 8, V_$006470D0[0];
%jmp/0xz T_12.34, 8;
%mov 8, 0, 1;
%load 13, V_$0065B178[0];
%load 14, V_$0065B178[1];
%load 15, V_$0065B178[2];
%load 16, V_$0065B178[3];
%load 17, V_$0065B178[4];
%load 18, V_$0065B178[5];
%load 19, V_$0065B178[6];
%load 20, V_$0065B178[7];
%nor/r 13, 13, 8;
%mov 9, 13, 1;
%load 10, V_$0065B178[3];
%mov 11, 0, 1;
%load 12, V_$0065B178[5];
%ix/load 0, 5;
%assign/v0 V_$00841610[1], 0, 8;
T_12.34 ;
%jmp T_12;
.thread T_12;
.scope S_inst_exec;
T_13 ;
%wait E_inst_exec._s3797;
%mov 8, 1, 3;
%mov 11, 0, 3;
%mov 14, 1, 1;
%mov 15, 0, 2;
%mov 17, 1, 1;
%load 18, V_$00665580[0];
%load 19, V_$00665580[1];
%load 20, V_$00665580[2];
%load 21, V_$00665580[3];
%load 22, V_$00665580[4];
%load 23, V_$00665580[5];
%load 24, V_$00665580[6];
%load 25, V_$00665580[7];
%load 26, V_$00665580[8];
%load 27, V_$00665580[9];
%cmp/u 8, 18, 10;
%mov 8, 4, 1;
%load 9, V_$0066D540[0];
%and 8, 9, 1;
%jmp/0xz T_13.0, 8;
%load/v 8, V_$008384B8[0], 8;
%ix/load 0, 8;
%assign/v0 V_$00693AD0[0], 0, 8;
T_13.0 ;
%jmp T_13;
.thread T_13;
/trunk/rtl/istate.v
0,0 → 1,267
///////////////////////////////////////////////////////////////////////////////////////////////////
// //
// file name: istate.v //
// description: Instruction State Machine z80 //
// project: wb_z80 //
// //
// Author: B.J. Porcella //
// e-mail: bporcella@sbcglobal.net //
// //
// //
// //
///////////////////////////////////////////////////////////////////////////////////////////////////
// //
// Copyright (C) 2000-2002 B.J. Porcella //
// Real Time Solutions //
// //
// //
// This source file may be used and distributed without //
// restriction provided that this copyright statement is not //
// removed from the file and that any derivative work contains //
// the original copyright notice and the associated disclaimer. //
// //
// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //
// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //
// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //
// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //
// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //
// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //
// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //
// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //
// POSSIBILITY OF SUCH DAMAGE. //
// //
//-------1---------2---------3--------Comments on file -------------7---------8---------9--------0
//
//
// Instructions may contain 1,2 or 3 bytes of "instruction" data.
// The state machine implemented here is responsible only for understanding
// that aspect of the instruction flow --- keeping the flow of instructions
// comming - differentiating instructions proper from immediate operands (XX).
// and indicating to the execution logic when its time to execute an instruction.
//
// Instructions are considered 9 bits of data with 2 bits of "modifier"
// the Most significant Data bits ir[9:8] are 01 for CB_grp, 10 for ED_grp;
// The "modifiers" DD_grp, and FD_grp are sent as seperate signals ( they are ignored by a lot
// of logic). The modifiers change operation of normal instructions and the CB group. They
// are never active whlie and ED_grp instruction is being executed.
//
//-------1---------2---------3--------CVS Log -----------------------7---------8---------9--------0
//
// $Id: istate.v,v 1.1.1.1 2004-04-13 23:49:57 bporcella Exp $
//
// $Date: 2004-04-13 23:49:57 $
// $Revision: 1.1.1.1 $
// $Author: bporcella $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
//
//
//-------1---------2---------3--------Module Name and Port List------7---------8---------9--------0
module istate(ir1_x, xfer, dat_i, if_act, wb_ack, hazard, jmp, run, clk, rst);
 
//-------1---------2---------3--------Output Ports---------6---------7---------8---------9--------0
 
output [10:0] ir1_x; // {3bit extension code, ir}
output ir1;
output ir2;
output ir1CB; // make these 1 hot should decode faster
output ir2CB;
output ir1ED;
output ir2ED;
output ir1DD;
output ir2DD;
output ir1FD;
output ir2FD;
//-------1---------2---------3--------Input Ports----------6---------7---------8---------9--------0
 
input [7:0] dat_i;
input if_act; // if in progress
input wb_ack ; // memory xfer done.
input hazard; // refill pipeline - we just stored into a location already fetched
input jmp; // refill pipeline - jump negates instruction just fetched
// (includes call and ret)
input run; // execute instructions
input clk;
input rst;
 
//-------1---------2---------3--------Parameters-----------6---------7---------8---------9--------0
 
//bj - think through issues of 11 vs 8 bits here... don't think the synthesizer can get it right
//all the time.
`include "opcodes.v" // description of ALL opcodes
 
parameter IF_IDLE = 3'h0,
IF_IR_MT = 3'h1, // we are active... start fetching new insts
IF_IR_NEW = 3'h2, // first byte of a new inst is active.
IF_I2 = 3'h3, // we now know this is at least a 2 byte instruction
IF_N2 = 3'h4, // go here for 16 bit immediates
IF_N1 = 3'h5, // go here for last 8 bits of immediates
IF_I3 = 3'h6, // go here for 3 byte instructions
IF_N_I3 = 3'h7; // 3 byte instructions with an immediate offset here.
parameter SET_STD = 3'h0, // this state machine is closly related to above - IR extension
SET_CB = 3'h1,
SET_DD = 3'h2,
SET_DDCB = 3'h3,
SET_ED = 3'h4,
SET_FD = 3'h5,
SET_FDCB = 3'h6;
 
//-------1---------2---------3--------Wires----------------6---------7---------8---------9--------0
wire ir_wait;
wire dec_i2;
wire dec_n2;
wire dec_n1;
 
wire dec_i2n2; // decoded from 2nd instruction
wire dec_i2n1; // decoded from 2nd instruction
wire dec_ni3; // decoded from 2nd instruction the immediate operand comes before the last I
wire xfer;
wire if_i2_done;
wire rd_n2;
wire rd_n1;
//-------1---------2---------3--------Registers------------6---------7---------8---------9--------0
 
reg [2:0] if_state;
reg [2:0] ir_grp;
reg [7:0] ir;
 
//-------1---------2---------3--------Assignments----------6---------7---------8---------9--------0
assign rd_n2 = ( if_state == IF_N2 );
assign rd_n1 = ( if_state == IF_N1 );
 
assign ir1_x = { ir_grp, ir};
 
assign exec = ( if_state == IF_N1 ) |
( if_state == IF_I3 ) |
( if_i2_done ) ;
 
 
assign if_i2_done = (if_state == IF_I2) & !( dec_i2n2 | dec_i2n1 | dec_ni3);
 
 
assign dec_i2 = (ir == CBgrp) |
(ir == DDgrp) |
(ir == EDgrp) |
(ir == FDgrp) ;
assign dec_n1 = (ir == LDsB_N ) |
(ir == LDsC_N ) | (ir == LDsA_N ) |
(ir == LDsD_N ) | (ir == ADDsA_N ) |
(ir == LDsE_N ) | (ir == ADCsA_N ) |
(ir == LDsH_N ) | (ir == OUTs6N7_A ) |
(ir == LDsL_N ) | (ir == SUBsN ) |
(ir == LDs6HL7_N ) | (ir == INsA_6N7 ) ;
assign dec_n2 (ir == LDsBC_NN ) | (ir == LDsA_6NN7 ) |
(ir == LDsDE_NN ) | (ir == CALLsNZ_NN ) |
(ir == LDsHL_NN ) | (ir == CALLsZ_NN ) |
(ir == LDs6NN7_HL ) | (ir == CALLsNN ) |
(ir == LDsHL_6NN7 ) | (ir == CALLsNC_NN ) |
(ir == LDsSP_NN ) | (ir == CALLsNC_NN ) |
(ir == LDs6NN7_A ) | (ir == CALLsC_NN ) ;
assign dec i2n1=
(ir == INCs6IXtN7 ) | (ir == INCs6IYtN7 ) | (ir == LDs6IXtN7_H ) | (ir == LDs6IYtN7_H ) |
(ir == DECs6IXtN7 ) | (ir == DECs6IYtN7 ) | (ir == LDs6IXtN7_L ) | (ir == LDs6IYtN7_L ) |
(ir == LDsB_6IXtN7 ) | (ir == LDsB_6IYtN7) | (ir == LDs6IXtN7_A ) | (ir == LDs6IYtN7_A ) |
(ir == LDsC_6IXtN7 ) | (ir == LDsC_6IYtN7) | (ir == LDsA_6IXtN7 ) | (ir == LDsA_6IYtN7 ) |
(ir == LDsD_6IXtN7 ) | (ir == LDsD_6IYtN7) | (ir == ADDsA_6IXtN7) | (ir == ADDsA_6IYtN7) |
(ir == LDsE_6IXtN7 ) | (ir == LDsE_6IYtN7) | (ir == ADCsA_6IXtN7) | (ir == ADCsA_6IYtN7) |
(ir == LDsH_6IXtN7 ) | (ir == LDsH_6IYtN7) | (ir == SUBs6IXtN7 ) | (ir == SUBs6IYtN7 ) |
(ir == LDsL_6IXtN7 ) | (ir == LDsL_6IYtN7) | (ir == SBCsA_6IXtN7) | (ir == SBCsA_6IYtN7) |
(ir == LDs6IXtN7_B ) | (ir == LDs6IYtN7_B) | (ir == ANDs6IXtN7 ) | (ir == ANDs6IYtN7 ) |
(ir == LDs6IXtN7_C ) | (ir == LDs6IYtN7_C) | (ir == XORs6IXtN7 ) | (ir == XORs6IYtN7 ) |
(ir == LDs6IXtN7_D ) | (ir == LDs6IYtN7_D) | (ir == ORs6IXtN7 ) | (ir == ORs6IYtN7 ) |
(ir == LDs6IXtN7_E ) | (ir == LDs6IYtN7_E) | (ir == CPs6IXtN7 ) | (ir == CPs6IYtN7 ) ;
assign dec_i2n2 = (ir == LDsIX_NN ) | (ir == LDsDE_6NN7 ) |
(ir == LDs6NN7_IX ) | (ir == LDs6NN7_SP ) |
(ir == LDsIX_6NN7 ) | (ir == LDsSP_6NN7 ) |
(ir == LDs6IXtN7_N ) | (ir == LDsIY_NN ) |
(ir == LDs6NN7_BC ) | (ir == LDs6NN7_IY ) |
(ir == LDsBC_6NN7 ) | (ir == LDsIY_6NN7 ) |
(ir == LDs6NN7_DE ) | (ir == LDs6IYtN7_N ) ;
assign dec_ni3 = (ir == FDCBgrp) | | (ir == DDCBgrp) ;
 
assign i_rdy = if_act & wb_ack;
 
//-------1---------2---------3--------State Machines-------6---------7---------8---------9--------0
 
// note run - like reset gets the machine to IDLE -- but in a more orderly fasion.
//
the hazard terms are not correct. should go frm IF_NEW to IF_MT
always @(posedge clk or posedge rst)
begin
if (rst ) if_state <= IF_IDLE;
else if (i_rdy)
begin
case (if_state)
IF_IDLE : if (run) if_state <= IF_IR_MT;
IF_IRMT : if (run) if_state <= IF_IR_NEW;
else if_state <= IF_IDLE;
IF_IR_NEW : if (run)
begin
if (dec_i2) if_state <= IF_I2;
if (dec_n2) if_state <= IF_N2;
if (dec_n1) if_state <= IF_N1;
end
else if_state <= IF_IDLE;
IF_I2 : begin
if (dec_i2n2) if_state <= IF_N2;
else if (dec_i2n1) if_state <= IF_N1;
else if (dec_ni3 ) if_state <= IF_N_I3;
else if (hazard ) if_state <= IF_MT;
else if_state <= IF_IR_NEW;
end
else if_state <= IF_IDLE;
IF_N2 : if_state <= IF_N1;
IF_N1 : if_state <= hazard ? IF_MT : IF_IR_NEW;
IF_I3 : if_state <= hazard ? IF_MT : IF_IR_NEW;
IF_N_I3 : if_state <= hazard ? IF_MT : IF_IR_NEW;
default: if_state <= IF_IDLE;
endcase
end
end
 
always @(posedge clk or posedge rst)
if (rst ) ir <= NOP;
else if (!ir_wait)
if (( if_state == IF_IR_MT ) |
( if_state == IF_N1 ) |
( if_state == IF_I3 ) |
( if_i2_done ) ) ir <= dat_i;
 
always @(posedge clk or posedge rst)
if (rst ) ir_grp <= SET_STD;
else if (!ir_wait)
begin
if (( if_state == IF_IR_MT ) | // alwaya clear on entry to IR+NEW
( if_state == IF_N1 ) |
( if_state == IF_I3 ) |
( if_i2_done ) ) ir_grp <= SET_STD;
if (if_state == IF_IR_NEW)
begin
if (ir == CBgrp ) ir_grp <= SET_CB;
if (ir == DDgrp ) ir_grp <= SET_DD;
if (ir == EDgrp ) ir_grp <= SET_ED;
if (ir == FDgrp ) ir_grp <= SET_FD;
end
if (if_state == IF_IR_NEW)
begin
if (ir == DDCBgrp ) ir_grp <= SET_DDCB;
if (ir == FDCBgrp ) ir_grp <= SET_FDCB;
end
end
 
endmodule
/trunk/rts_header.v
0,0 → 1,62
///////////////////////////////////////////////////////////////////////////////////////////////////
//// ////
//// WISHBONE Connection Matrix Top Level ////
//// ////
//// ////
//// Author: B.J. Porcella ////
//// bporcella@sbcglobal.net ////
//// ////
//// ////
//// ////
///////////////////////////////////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2000-2002 B.J. Porcella ////
//// Real Time Solutions ////
//// ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer. ////
//// ////
//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ////
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ////
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ////
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ////
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ////
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ////
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ////
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ////
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ////
//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ////
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ////
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ////
//// POSSIBILITY OF SUCH DAMAGE. ////
//// ////
///////////////////////////////////////////////////////////////////////////////////////////////////
// CVS Log
//
// $Id: rts_header.v,v 1.1.1.1 2004-04-13 23:47:42 bporcella Exp $
//
// $Date: 2004-04-13 23:47:42 $
// $Revision: 1.1.1.1 $
// $Author: bporcella $
// $Locker: $
// $State: Exp $
//
// Change History:
// $Log: not supported by cvs2svn $
//
//
//-------1---------2---------3--------Module Name and Port List------7---------8---------9--------0
module
 
//-------1---------2---------3--------Output Ports---------6---------7---------8---------9--------0
//-------1---------2---------3--------Input Ports----------6---------7---------8---------9--------0
//-------1---------2---------3--------Parameters-----------6---------7---------8---------9--------0
//-------1---------2---------3--------Wires------5---------6---------7---------8---------9--------0
//-------1---------2---------3--------Registers--5---------6---------7---------8---------9--------0
//-------1---------2---------3--------Assignments----------6---------7---------8---------9--------0
//-------1---------2---------3--------State Machines-------6---------7---------8---------9--------0
 
endmodule
/trunk/doc/work_xx.v
0,0 → 1,171
Searching for: XX
// dec_n1
LDsB_N ; 06 XX
LDsC_N ; 0E XX
LDsD_N ; 16 XX
LDsE_N ; 1E XX
LDsH_N ; 26 XX
LDsL_N ; 2E XX
LDs6HL7_N ; 36 XX
LDsA_N ; 3E XX
ADDsA_N ; C6 XX
ADCsA_N ; CE XX
OUTs6N7_A ; D3 XX
SUBsN ; D6 XX
INsA_6N7 ; DB XX
 
 
 
//dec_n2
LDsBC_NN ; 01 XX XX
LDsDE_NN ; 11 XX XX
LDsHL_NN ; 21 XX XX
LDs6NN7_HL ; 22 XX XX
LDsHL_6NN7 ; 2A XX XX
LDsSP_NN ; 31 XX XX
LDs6NN7_A ; 32 XX XX
LDsA_6NN7 ; 3A XX XX
CALLsNZ_NN ; C4 XX XX
CALLsZ_NN ; CC XX XX
CALLsNN ; CD XX XX
CALLsNC_NN ; D4 XX XX
CALLsNC_NN ; D4 XX XX
CALLsC_NN ; DC XX XX
 
 
 
 
 
LD IX,NN ; DD 21 XX XX
LD (NN),IX ; DD 22 XX XX
LD IX,(NN) ; DD 2A XX XX
INC (IX+N) ; DD 34 XX
DEC (IX+N) ; DD 35 XX
LD (IX+N),N ; DD 36 XX XX
LD B,(IX+N) ; DD 46 XX
LD C,(IX+N) ; DD 4E XX
LD D,(IX+N) ; DD 56 XX
LD E,(IX+N) ; DD 5E XX
LD H,(IX+N) ; DD 66 XX
LD L,(IX+N) ; DD 6E XX
LD (IX+N),B ; DD 70 XX
LD (IX+N),C ; DD 71 XX
LD (IX+N),D ; DD 72 XX
LD (IX+N),E ; DD 73 XX
LD (IX+N),H ; DD 74 XX
LD (IX+N),L ; DD 75 XX
LD (IX+N),A ; DD 77 XX
LD A,(IX+N) ; DD 7E XX
ADD A,(IX+N) ; DD 86 XX
ADC A,(IX+N) ; DD 8E XX
SUB (IX+N) ; DD 96 XX
SBC A,(IX+N) ; DD 9E XX
AND (IX+N) ; DD A6 XX
XOR (IX+N) ; DD AE XX
OR (IX+N) ; DD B6 XX
CP (IX+N) ; DD BE XX
RLC (IX+N) ; DD CB XX 06
RRC (IX+N) ; DD CB XX 0E
RL (IX+N) ; DD CB XX 16
RR (IX+N) ; DD CB XX 1E
SLA (IX+N) ; DD CB XX 26
SRA (IX+N) ; DD CB XX 2E
BIT 0,(IX+N) ; DD CB XX 46
BIT 1,(IX+N) ; DD CB XX 4E
BIT 2,(IX+N) ; DD CB XX 56
BIT 3,(IX+N) ; DD CB XX 5E
BIT 4,(IX+N) ; DD CB XX 66
BIT 5,(IX+N) ; DD CB XX 6E
BIT 6,(IX+N) ; DD CB XX 76
BIT 7,(IX+N) ; DD CB XX 7E
RES 0,(IX+N) ; DD CB XX 86
RES 1,(IX+N) ; DD CB XX 8E
RES 2,(IX+N) ; DD CB XX 96
RES 3,(IX+N) ; DD CB XX 9E
RES 4,(IX+N) ; DD CB XX A6
RES 5,(IX+N) ; DD CB XX AE
RES 6,(IX+N) ; DD CB XX B6
RES 7,(IX+N) ; DD CB XX BE
SET 0,(IX+N) ; DD CB XX C6
SET 1,(IX+N) ; DD CB XX CE
SET 2,(IX+N) ; DD CB XX D6
SET 3,(IX+N) ; DD CB XX DE
SET 4,(IX+N) ; DD CB XX E6
SET 5,(IX+N) ; DD CB XX EE
SET 6,(IX+N) ; DD CB XX F6
SET 7,(IX+N) ; DD CB XX FE
SBC A,N ; DE XX
CALL PO,NN ; E4 XX XX
AND N ; E6 XX
CALL PE,NN ; EC XX XX
LD (NN),BC ; ED 43 XX XX
LD BC,(NN) ; ED 4B XX XX
LD (NN),DE ; ED 53 XX XX
LD DE,(NN) ; ED 5B XX XX
LD (NN),SP ; ED 73 XX XX
LD SP,(NN) ; ED 7B XX XX
XOR N ; EE XX
CALL P,NN ; F4 XX XX
OR N ; F6 XX
CALL M,NN ; FC XX XX
LD IY,NN ; FD 21 XX XX
LD (NN),IY ; FD 22 XX XX
LD IY,(NN) ; FD 2A XX XX
INC (IY+N) ; FD 34 XX
DEC (IY+N) ; FD 35 XX
LD (IY+N),N ; FD 36 XX XX
LD B,(IY+N) ; FD 46 XX
LD C,(IY+N) ; FD 4E XX
LD D,(IY+N) ; FD 56 XX
LD E,(IY+N) ; FD 5E XX
LD H,(IY+N) ; FD 66 XX
LD L,(IY+N) ; FD 6E XX
LD (IY+N),B ; FD 70 XX
LD (IY+N),C ; FD 71 XX
LD (IY+N),D ; FD 72 XX
LD (IY+N),E ; FD 73 XX
LD (IY+N),H ; FD 74 XX
LD (IY+N),L ; FD 75 XX
LD (IY+N),A ; FD 77 XX
LD A,(IY+N) ; FD 7E XX
ADD A,(IY+N) ; FD 86 XX
ADC A,(IY+N) ; FD 8E XX
SUB (IY+N) ; FD 96 XX
SBC A,(IY+N) ; FD 9E XX
AND (IY+N) ; FD A6 XX
XOR (IY+N) ; FD AE XX
OR (IY+N) ; FD B6 XX
CP (IY+N) ; FD BE XX
RLC (IY+N) ; FD CB XX 06
RRC (IY+N) ; FD CB XX 0E
RL (IY+N) ; FD CB XX 16
RR (IY+N) ; FD CB XX 1E
SLA (IY+N) ; FD CB XX 26
SRA (IY+N) ; FD CB XX 2E
BIT 0,(IY+N) ; FD CB XX 46
BIT 1,(IY+N) ; FD CB XX 4E
BIT 2,(IY+N) ; FD CB XX 56
BIT 3,(IY+N) ; FD CB XX 5E
BIT 4,(IY+N) ; FD CB XX 66
BIT 5,(IY+N) ; FD CB XX 6E
BIT 6,(IY+N) ; FD CB XX 76
BIT 7,(IY+N) ; FD CB XX 7E
RES 0,(IY+N) ; FD CB XX 86
RES 1,(IY+N) ; FD CB XX 8E
RES 2,(IY+N) ; FD CB XX 96
RES 3,(IY+N) ; FD CB XX 9E
RES 4,(IY+N) ; FD CB XX A6
RES 5,(IY+N) ; FD CB XX AE
RES 6,(IY+N) ; FD CB XX B6
RES 7,(IY+N) ; FD CB XX BE
SET 0,(IY+N) ; FD CB XX C6
SET 1,(IY+N) ; FD CB XX CE
SET 2,(IY+N) ; FD CB XX D6
SET 3,(IY+N) ; FD CB XX DE
SET 4,(IY+N) ; FD CB XX E6
SET 5,(IY+N) ; FD CB XX EE
SET 6,(IY+N) ; FD CB XX F6
SET 7,(IY+N) ; FD CB XX FE
CP N ; FE XX
Found 159 occurrence(s) in 1 file(s)
 
/trunk/doc/work_ofos.txt
0,0 → 1,362
ADCsA_A = 'h8F,// ADC A,A ; 8F
ADCsA_B = 'h88,// ADC A,B ; 88
ADCsA_C = 'h89,// ADC A,C ; 89
ADCsA_D = 'h8A,// ADC A,D ; 8A
ADCsA_E = 'h8B,// ADC A,E ; 8B
ADCsA_H = 'h8C,// ADC A,H ; 8C
ADCsA_L = 'h8D,// ADC A,L ; 8D
ADCsA_N = 'hCE,// ADC A,N ; CE XX
ADDsA_A = 'h87,// ADD A,A ; 87
ADDsA_B = 'h80,// ADD A,B ; 80
ADDsA_C = 'h81,// ADD A,C ; 81
ADDsA_D = 'h82,// ADD A,D ; 82
ADDsA_E = 'h83,// ADD A,E ; 83
ADDsA_H = 'h84,// ADD A,H ; 84
ADDsA_L = 'h85,// ADD A,L ; 85
ADDsA_N = 'hC6,// ADD A,N ; C6 XX
ADDsHL_BC = 'h09,// ADD HL,BC ; 09
ADDsHL_DE = 'h19,// ADD HL,DE ; 19
ADDsHL_HL = 'h29,// ADD HL,HL ; 29
ADDsHL_SP = 'h39,// ADD HL,SP ; 39
ANDsA = 'hA7,// AND A ; A7
ANDsB = 'hA0,// AND B ; A0
ANDsC = 'hA1,// AND C ; A1
ANDsD = 'hA2,// AND D ; A2
ANDsE = 'hA3,// AND E ; A3
ANDsH = 'hA4,// AND H ; A4
ANDsL = 'hA5,// AND L ; A5
ANDsN = 'hE6,// AND N ; E6 XX
CCF = 'h3F,// CCF ; 3F
CPL = 'h2F,// CPL ; 2F
CPsA = 'hBF,// CP A ; BF
CPsB = 'hB8,// CP B ; B8
CPsC = 'hB9,// CP C ; B9
CPsD = 'hBA,// CP D ; BA
CPsE = 'hBB,// CP E ; BB
CPsH = 'hBC,// CP H ; BC
CPsL = 'hBD,// CP L ; BD
CPsN = 'hFE,// CP N ; FE XX
DAA = 'h27,// DAA ; 27
DECsA = 'h3D,// DEC A ; 3D
DECsB = 'h05,// DEC B ; 05
DECsBC = 'h0B,// DEC BC ; 0B
DECsC = 'h0D,// DEC C ; 0D
DECsD = 'h15,// DEC D ; 15
DECsDE = 'h1B,// DEC DE ; 1B
DECsE = 'h1D,// DEC E ; 1D
DECsH = 'h25,// DEC H ; 25
DECsHL = 'h2B,// DEC HL ; 2B
DECsL = 'h2D,// DEC L ; 2D
DECsSP = 'h3B,// DEC SP ; 3B
DI = 'hF3,// DI ; F3
EI = 'hFB,// EI ; FB
EXX = 'hD9,// EXX ; D9
EXsAF_AFp = 'h08,// EX AF,AF' ; 08
EXsDE_HL = 'hEB,// EX DE,HL ; EB
HALT = 'h76,// HALT ; 76
INCsA = 'h3C,// INC A ; 3C
INCsB = 'h04,// INC B ; 04
INCsBC = 'h03,// INC BC ; 03
INCsC = 'h0C,// INC C ; 0C
INCsD = 'h14,// INC D ; 14
INCsDE = 'h13,// INC DE ; 13
INCsE = 'h1C,// INC E ; 1C
INCsH = 'h24,// INC H ; 24
INCsHL = 'h23,// INC HL ; 23
INCsL = 'h2C,// INC L ; 2C
INCsSP = 'h33,// INC SP ; 33
LDsA_A = 'h7F,// LD A,A ; 7F
LDsA_B = 'h78,// LD A,B ; 78
LDsA_C = 'h79,// LD A,C ; 79
LDsA_D = 'h7A,// LD A,D ; 7A
LDsA_E = 'h7B,// LD A,E ; 7B
LDsA_H = 'h7C,// LD A,H ; 7C
LDsA_L = 'h7D,// LD A,L ; 7D
LDsA_N = 'h3E,// LD A,N ; 3E XX
LDsBC_nn = 'h01,// LD BC,NN ; 01 XX XX
LDsB_A = 'h47,// LD B,A ; 47
LDsB_B = 'h40,// LD B,B ; 40
LDsB_C = 'h41,// LD B,C ; 41
LDsB_D = 'h42,// LD B,D ; 42
LDsB_E = 'h43,// LD B,E ; 43
LDsB_H = 'h44,// LD B,H ; 44
LDsB_L = 'h45,// LD B,L ; 45
LDsB_N = 'h06,// LD B,N ; 06 XX
LDsC_A = 'h4F,// LD C,A ; 4F
LDsC_B = 'h48,// LD C,B ; 48
LDsC_C = 'h49,// LD C,C ; 49
LDsC_D = 'h4A,// LD C,D ; 4A
LDsC_E = 'h4B,// LD C,E ; 4B
LDsC_H = 'h4C,// LD C,H ; 4C
LDsC_L = 'h4D,// LD C,L ; 4D
LDsC_N = 'h0E,// LD C,N ; 0E XX
LDsDE_NN = 'h11,// LD DE,NN ; 11 XX XX
LDsD_A = 'h57,// LD D,A ; 57
LDsD_B = 'h50,// LD D,B ; 50
LDsD_C = 'h51,// LD D,C ; 51
LDsD_D = 'h52,// LD D,D ; 52
LDsD_E = 'h53,// LD D,E ; 53
LDsD_H = 'h54,// LD D,H ; 54
LDsD_L = 'h55,// LD D,L ; 55
LDsD_N = 'h16,// LD D,N ; 16 XX
LDsE_A = 'h5F,// LD E,A ; 5F
LDsE_B = 'h58,// LD E,B ; 58
LDsE_C = 'h59,// LD E,C ; 59
LDsE_D = 'h5A,// LD E,D ; 5A
LDsE_E = 'h5B,// LD E,E ; 5B
LDsE_H = 'h5C,// LD E,H ; 5C
LDsE_L = 'h5D,// LD E,L ; 5D
LDsE_N = 'h1E,// LD E,N ; 1E XX
LDsHL_NN = 'h21,// LD HL,NN ; 21 XX XX
LDsH_A = 'h67,// LD H,A ; 67
LDsH_B = 'h60,// LD H,B ; 60
LDsH_C = 'h61,// LD H,C ; 61
LDsH_D = 'h62,// LD H,D ; 62
LDsH_E = 'h63,// LD H,E ; 63
LDsH_H = 'h64,// LD H,H ; 64
LDsH_L = 'h65,// LD H,L ; 65
LDsH_N = 'h26,// LD H,N ; 26 XX
LDsL_A = 'h6F,// LD L,A ; 6F
LDsL_B = 'h68,// LD L,B ; 68
LDsL_C = 'h69,// LD L,C ; 69
LDsL_D = 'h6A,// LD L,D ; 6A
LDsL_E = 'h6B,// LD L,E ; 6B
LDsL_H = 'h6C,// LD L,H ; 6C
LDsL_L = 'h6D,// LD L,L ; 6D
LDsL_N = 'h2E,// LD L,N ; 2E XX
LDsSP_HL = 'hF9,// LD SP,HL ; F9
LDsSP_NN = 'h31,// LD SP,NN ; 31 XX XX
NOP = 'h00,// NOP ; 00
ORsA = 'hB7,// OR A ; B7
ORsB = 'hB0,// OR B ; B0
ORsC = 'hB1,// OR C ; B1
ORsD = 'hB2,// OR D ; B2
ORsE = 'hB3,// OR E ; B3
ORsH = 'hB4,// OR H ; B4
ORsL = 'hB5,// OR L ; B5
ORsN = 'hF6,// OR N ; F6 XX
RLA = 'h17,// RLA ; 17
RLCA = 'h07,// RLCA ; 07
RRA = 'h1F,// RRA ; 1F
RRCA = 'h0F,// RRCA ; 0F
SBCsA = 'h9F,// SBC A ; 9F
SBCsA_N = 'hDE,// SBC A,N ; DE XX
SBCsB = 'h98,// SBC B ; 98
SBCsC = 'h99,// SBC C ; 99
SBCsD = 'h9A,// SBC D ; 9A
SBCsE = 'h9B,// SBC E ; 9B
SBCsH = 'h9C,// SBC H ; 9C
SBCsL = 'h9D,// SBC L ; 9D
SCF = 'h37,// SCF ; 37
SUBsA = 'h97,// SUB A ; 97
SUBsB = 'h90,// SUB B ; 90
SUBsC = 'h91,// SUB C ; 91
SUBsD = 'h92,// SUB D ; 92
SUBsE = 'h93,// SUB E ; 93
SUBsH = 'h94,// SUB H ; 94
SUBsL = 'h95,// SUB L ; 95
SUBsN = 'hD6,// SUB N ; D6 XX
XORsA = 'hAF,// XOR A ; AF
XORsB = 'hA8,// XOR B ; A8
XORsC = 'hA9,// XOR C ; A9
XORsD = 'hAA,// XOR D ; AA
XORsE = 'hAB,// XOR E ; AB
XORsH = 'hAC,// XOR H ; AC
XORsL = 'hAD,// XOR L ; AD
XORsN = 'hEE,// XOR N ; EE XX
ED_SBCsHL_REG = 6'b01__0010, // compair with {ir[7:6],ir[3:0]}
ED_ADCsHL_REG = 6'b01__1010, // compair with {ir[7:6],ir[3:0]}
~CB_MEM & CB_RLC = 5'b00_000, // these must be compaired with ir[7:3]
~CB_MEM & CB_RRC = 5'b00_001, // these must be compaired with ir[7:3]
~CB_MEM & CB_RL = 5'b00_010, // these must be compaired with ir[7:3]
~CB_MEM & CB_RR = 5'b00_011, // these must be compaired with ir[7:3]
~CB_MEM & CB_SLA = 5'b00_100, // these must be compaired with ir[7:3]
~CB_MEM & CB_SRA = 5'b00_101, // these must be compaired with ir[7:3]
~CB_MEM & CB_SLL = 5'b00_110, // these must be compaired with ir[7:3]
~CB_MEM & CB_SRL = 5'b00_111, // these must be compaired with ir[7:3]
~CB_MEM & CB_BIT = 2'b01, // these must be compaired with ir[7:6]
~CB_MEM & CB_RES = 2'b10, // these must be compaired with ir[7:6]
~CB_MEM & CB_SET = 2'b11, // these must be compaired with ir[7:6]
ED_NEG = 5'b01___100, // compair with {ir[7:6],ir[2:0]} all A<= -A
ED_IMs0 = 'h46// IM 0 ; ED 46 set IM0
ED_LDsI_A = 'h47// LD I,A ; ED 47 move a to I
ED_IMs1 = 'h56// IM 1 ; ED 56 set IM1
ED_LDsA_I = 'h57// LD A,I ; ED 57 move I to A
ED_IMs2 = 'h5E// IM 2 ; ED 5E set IM2
 
 
 
 
 
 
 
 
// load 8 types
LDsA_6BC7 = 'h0A,// LD A,(BC) ; 0A
LDsA_6DE7 = 'h1A,// LD A,(DE) ; 1A
LDsB_6HL7 = 'h46,// LD B,(HL) ; 46
LDsD_6HL7 = 'h56,// LD D,(HL) ; 56
LDsH_6HL7 = 'h66,// LD H,(HL) ; 66
ADDsA_6HL7 = 'h86,// ADD A,(HL) ; 86
SUBs6HL7 = 'h96,// SUB (HL) ; 96
ANDs6HL7 = 'hA6,// AND (HL) ; A6
ORs6HL7 = 'hB6,// OR (HL) ; B6
LDsC_6HL7 = 'h4E,// LD C,(HL) ; 4E
LDsE_6HL7 = 'h5E,// LD E,(HL) ; 5E
LDsL_6HL7 = 'h6E,// LD L,(HL) ; 6E
LDsA_6HL7 = 'h7E,// LD A,(HL) ; 7E
ADCsA_6HL7 = 'h8E,// ADC A,(HL) ; 8E
SBCs6HL7 = 'h9E,// SBC (HL) ; 9E
XORs6HL7 = 'hAE,// XOR (HL) ; AE
CPs6HL7 = 'hBE,// CP (HL) ; BE
LDsA_6NN7 = 'h3A,// LD A,(NN) ; 3A XX XX
CB_MEM & CB_BIT = 2'b01, // (HL) these must be compaired with ir[7:6]
 
 
 
// load 16 types
LDsHL_6NN7 = 'h2A,// LD HL,(NN) ; 2A XX XX
POPsAF = 'hF1,// POP AF ; F1 AF<- (SP++ ++)
POPsBC = 'hC1,// POP BC ; C1 BC<- (SP++ ++)
POPsDE = 'hD1,// POP DE ; D1 DE<- (SP++ ++)
POPsHL = 'hE1,// POP HL ; E1 HL<- (SP++ ++)
ED_LDsREG_6NN7 = 6'b01__1011, // compair with {ir[7:6],ir[3:0]} REG = BC,DE,HL,SP
 
 
// store 8 types
LDs6HL7_N = 'h36,// LD (HL),N ; 36 XX
LDs6BC7_A = 'h02,// LD (BC),A ; 02
LDs6DE7_A = 'h12,// LD (DE),A ; 12
LDs6HL7_A = 'h77,// LD (HL),A ; 77
LDs6HL7_B = 'h70,// LD (HL),B ; 70
LDs6HL7_C = 'h71,// LD (HL),C ; 71
LDs6HL7_D = 'h72,// LD (HL),D ; 72
LDs6HL7_E = 'h73,// LD (HL),E ; 73
LDs6HL7_H = 'h74,// LD (HL),H ; 74
LDs6HL7_L = 'h75,// LD (HL),L ; 75
LDs6NN7_A = 'h32,// LD (NN),A ; 32 XX XX
 
// store 16 types
PUSHsAF = 'hF5,// PUSH AF ; F5 (-- --SP) <- AF
PUSHsBC = 'hC5,// PUSH BC ; C5 (-- --SP) <- BC
PUSHsDE = 'hD5,// PUSH DE ; D5 (-- --SP) <- DE
PUSHsHL = 'hE5,// PUSH HL ; E5 (-- --SP) <- HL
LDs6NN7_HL = 'h22,// LD (NN),HL ; 22 XX XX
ED_LDs6NN7_REG = 6'b01__0011,// XX XX compair with {ir[7:6],ir[3:0]} REG = BC,DE,HL,SP
 
// rmw 8 types
INCs6HL7 = 'h34,// INC (HL) ; 34
DECs6HL7 = 'h35,// DEC (HL) ; 35
 
ED_RRD = 'h67// RRD ; ED 67 nibble roates A (HL) no prefix
ED_RLD = 'h6F// RLD ; ED 6F nibble roates A (HL) no prefix
//CB_MEM = 3'h110, // this must be compaired with ir[2:0]
CB_MEM & CB_RLC = 5'b00_000, // these must be compaired with ir[7:3] (HL)
CB_MEM & CB_RRC = 5'b00_001, // these must be compaired with ir[7:3] (HL)
CB_MEM & CB_RL = 5'b00_010, // these must be compaired with ir[7:3] (HL)
CB_MEM & CB_RR = 5'b00_011, // these must be compaired with ir[7:3] (HL)
CB_MEM & CB_SLA = 5'b00_100, // these must be compaired with ir[7:3] (HL)
CB_MEM & CB_SRA = 5'b00_100, // these must be compaired with ir[7:3] (HL)
CB_MEM & CB_SRL = 5'b00_110, // these must be compaired with ir[7:3] (HL)
CB_MEM & CB_RES = 2'b10, // these must be compaired with ir[7:6] (HL)
CB_MEM & CB_SET = 2'b11, // these must be compaired with ir[7:6] (HL)
 
 
// rmw 16 types
EXs6SP7_HL = 'hE3,// EX (SP),HL ; E3
 
// Jumps only trick here is that next inst is not valid - ignore it
JRs$t2 = 'h18,// JR $+2 ; 18 XX
JRsC_$t2 = 'h38,// JR C,$+2 ; 38
JRsNC_$t2 = 'h30,// JR NC,$+2 ; 30
JRsNZ_$t2 = 'h20,// JR NZ,$+2 ; 20
JRsZ_$t2 = 'h28,// JR Z,$+2 ; 28
DJNZs$t2 = 'h10,// DJNZ $+2 ; 10 XX XX
JPs = 'hC3,// JP $+3 ; C3 XX XX
JPsC = 'hDA,// JP C,$+3 ; DA XX XX
JPsHL = 'hE9,// JP HL ; E9 // documented as indirect IS NOT
JPsM = 'hFA,// JP M,$+3 ; FA XX XX
JPsNC = 'hD2,// JP NC,$+3 ; D2 XX XX
JPsNZ = 'hC2,// JP NZ,$+3 ; C2 XX XX
JPsPE = 'hEA,// JP PE,$+3 ; EA XX XX
JPsPO = 'hE2,// JP PO,$+3 ; E2 XX XX
JPsP = 'hF2,// JP P,$+3 ; F2 XX XX
JPsZ = 'hCA,// JP Z,$+3 ; CA XX XX
RSTs0 = 'hC7,// RST 0 ; C7
RSTs8H = 'hCF,// RST 8H ; CF
RSTs10H = 'hD7,// RST 10H ; D7
RSTs18H = 'hDF,// RST 18H ; DF
RSTs20H = 'hE7,// RST 20H ; E7
RSTs28H = 'hEF,// RST 28H ; EF
RSTs30H = 'hF7,// RST 30H ; F7
RSTs38H = 'hFF,// RST 38H ; FF
 
 
// calls
CALLsC_NN = 'hDC,// CALL C,NN ; DC XX XX (-- --SP) <- PC, PC<-NN
CALLsM_NN = 'hFC,// CALL M,NN ; FC XX XX (-- --SP) <- PC, PC<-NN
CALLsNC_NN = 'hD4,// CALL NC,NN ; D4 XX XX (-- --SP) <- PC, PC<-NN
CALLsNN = 'hCD,// CALL NN ; CD XX XX (-- --SP) <- PC, PC<-NN
CALLsNZ_NN = 'hC4,// CALL NZ,NN ; C4 XX XX (-- --SP) <- PC, PC<-NN
CALLsPE_NN = 'hEC,// CALL PE,NN ; EC XX XX (-- --SP) <- PC, PC<-NN
CALLsPO_NN = 'hE4,// CALL PO,NN ; E4 XX XX (-- --SP) <- PC, PC<-NN
CALLsP_NN = 'hF4,// CALL P,NN ; F4 XX XX (-- --SP) <- PC, PC<-NN
CALLsZ_NN = 'hCC,// CALL Z,NN ; CC XX XX (-- --SP) <- PC, PC<-NN
 
//returns
RET = 'hC9,// RET ; C9 PC <- (SP++ ++)
RETsC = 'hD8,// RET C ; D8 PC <- (SP++ ++)
RETsM = 'hF8,// RET M ; F8 PC <- (SP++ ++)
RETsNC = 'hD0,// RET NC ; D0 PC <- (SP++ ++)
RETsNZ = 'hC0,// RET NZ ; C0 PC <- (SP++ ++)
RETsP = 'hF0,// RET P ; F0 PC <- (SP++ ++)
RETsPE = 'hE8,// RET PE ; E8 PC <- (SP++ ++)
RETsPO = 'hE0,// RET PO ; E0 PC <- (SP++ ++)
RETsZ = 'hC8,// RET Z ; C8 PC <- (SP++ ++)
ED_RETN = 5'b01___101; // compair with {ir[7:6],ir[2:0]} and !reti
 
 
//io input
INsA_6N7 = 'hDB,// IN A,(N) ; DB XX A<-(Nio)//silly
ED_INsREG_6C7 = 5'b01___000,// compair with {ir[7:6],ir[2:0]} really (BCio)
 
//io output
OUTs6N7_A = 'hD3,// OUT (N),A ; D3 XX A-> (Nio)
ED_OUTs6C7_REG = 5'b01___001,// compair with {ir[7:6],ir[2:0]}
 
// ED Block Move messyness
//
ED_LDI = 'hA0// LDI ; ED A0 (DE++) <= (HL++) , BC--
ED_LDD = 'hA8// LDD ; ED A8 (DE--) <= (HL--) , BC--
ED_LDIR = 'hB0// LDIR ; ED B0 (DE++) <= (HL++) , BC-- Repeat til BC==0
ED_LDDR = 'hB8// LDDR ; ED B8 (DE--) <= (HL--) , BC-- Repeat til BC==0
 
 
ED_CPI = 'hA1// CPI ; ED A1 A - (HL++) , BC--
ED_CPD = 'hA9// CPD ; ED A9 A - (HL--) , BC--
ED_CPIR = 'hB1// CPIR ; ED B1 A - (HL++) , BC-- repeat if(|B
ED_CPDR = 'hB9// CPDR ; ED B9 A - (HL--) , BC-- repeat if(|B
 
 
ED_INI = 'hA2// INI ; ED A2 (HL++) <- (Cio) , B--
ED_IND = 'hAA// IND ; ED AA (HL--) <- (Cio) , B--
ED_INIR = 'hB2// INIR ; ED B2 (HL++) <- (Cio) , B-- repeat if(|B)
ED_INDR = 'hBA// INDR ; ED BA (HL--) <- (Cio) , B-- repeat if(|B)
 
 
 
ED_OUTI = 'hA3// OUTI ; ED A3 (Cio) <-(HL++) , B--
ED_OUTD = 'hAB// OUTD ; ED AB (Cio) <-(HL--) , B--
ED_OTIR = 'hB3// OTIR ; ED B3 (Cio) <-(HL++) , B-- rpt if(|B)
ED_OTDR = 'hBB// OTDR ; ED BB (Cio) <-(HL--) , B-- rpt if(|B)
 
 
 
 
 
CBgrp = 'hCB,// CBgrp is rotates and bit munging below
EDgrp = 'hED,// EDgrp ED
FDgrp = 'hFD,// FDgrp FD
DDgrp = 'hDD,// DDgrp
/trunk/doc/work_flag.txt
0,0 → 1,358
// update all flags from alu8 for logic operations pv <= parity else ofl
ADCsA_A = 'h8F,// ADC A,A ; 8F
ADCsA_B = 'h88,// ADC A,B ; 88
ADCsA_C = 'h89,// ADC A,C ; 89
ADCsA_D = 'h8A,// ADC A,D ; 8A
ADCsA_E = 'h8B,// ADC A,E ; 8B
ADCsA_H = 'h8C,// ADC A,H ; 8C
ADCsA_L = 'h8D,// ADC A,L ; 8D
ADCsA_N = 'hCE,// ADC A,N ; CE XX
ADDsA_A = 'h87,// ADD A,A ; 87
ADDsA_B = 'h80,// ADD A,B ; 80
ADDsA_C = 'h81,// ADD A,C ; 81
ADDsA_D = 'h82,// ADD A,D ; 82
ADDsA_E = 'h83,// ADD A,E ; 83
ADDsA_H = 'h84,// ADD A,H ; 84
ADDsA_L = 'h85,// ADD A,L ; 85
ADDsA_N = 'hC6,// ADD A,N ; C6 XX
ANDsA = 'hA7,// AND A ; A7
ANDsB = 'hA0,// AND B ; A0
ANDsC = 'hA1,// AND C ; A1
ANDsD = 'hA2,// AND D ; A2
ANDsE = 'hA3,// AND E ; A3
ANDsH = 'hA4,// AND H ; A4
ANDsL = 'hA5,// AND L ; A5
ANDsN = 'hE6,// AND N ; E6 XX
CPsA = 'hBF,// CP A ; BF
CPsB = 'hB8,// CP B ; B8
CPsC = 'hB9,// CP C ; B9
CPsD = 'hBA,// CP D ; BA
CPsE = 'hBB,// CP E ; BB
CPsH = 'hBC,// CP H ; BC
CPsL = 'hBD,// CP L ; BD
CPsN = 'hFE,// CP N ; FE XX
ORsA = 'hB7,// OR A ; B7
ORsB = 'hB0,// OR B ; B0
ORsC = 'hB1,// OR C ; B1
ORsD = 'hB2,// OR D ; B2
ORsE = 'hB3,// OR E ; B3
ORsH = 'hB4,// OR H ; B4
ORsL = 'hB5,// OR L ; B5
ORsN = 'hF6,// OR N ; F6 XX
SBCsA = 'h9F,// SBC A ; 9F
SBCsA_N = 'hDE,// SBC A,N ; DE XX
SBCsB = 'h98,// SBC B ; 98
SBCsC = 'h99,// SBC C ; 99
SBCsD = 'h9A,// SBC D ; 9A
SBCsE = 'h9B,// SBC E ; 9B
SBCsH = 'h9C,// SBC H ; 9C
SBCsL = 'h9D,// SBC L ; 9D
SUBsA = 'h97,// SUB A ; 97
SUBsB = 'h90,// SUB B ; 90
SUBsC = 'h91,// SUB C ; 91
SUBsD = 'h92,// SUB D ; 92
SUBsE = 'h93,// SUB E ; 93
SUBsH = 'h94,// SUB H ; 94
SUBsL = 'h95,// SUB L ; 95
SUBsN = 'hD6,// SUB N ; D6 XX
XORsA = 'hAF,// XOR A ; AF
XORsB = 'hA8,// XOR B ; A8
XORsC = 'hA9,// XOR C ; A9
XORsD = 'hAA,// XOR D ; AA
XORsE = 'hAB,// XOR E ; AB
XORsH = 'hAC,// XOR H ; AC
XORsL = 'hAD,// XOR L ; AD
XORsN = 'hEE,// XOR N ; EE XX
 
// same as above but no cf change oh my god why?
DECsA = 'h3D,// DEC A ; 3D
DECsB = 'h05,// DEC B ; 05
DECsC = 'h0D,// DEC C ; 0D
DECsD = 'h15,// DEC D ; 15
DECsE = 'h1D,// DEC E ; 1D
DECsH = 'h25,// DEC H ; 25
DECsL = 'h2D,// DEC L ; 2D
INCsA = 'h3C,// INC A ; 3C
INCsB = 'h04,// INC B ; 04
INCsC = 'h0C,// INC C ; 0C
INCsD = 'h14,// INC D ; 14
INCsE = 'h1C,// INC E ; 1C
INCsH = 'h24,// INC H ; 24
INCsL = 'h2C,// INC L ; 2C
 
// update h n c (f5, f3) from alu16
ADDsHL_BC = 'h09,// ADD HL,BC ; 09
ADDsHL_DE = 'h19,// ADD HL,DE ; 19
ADDsHL_HL = 'h29,// ADD HL,HL ; 29
ADDsHL_SP = 'h39,// ADD HL,SP ; 39
INCsBC = 'h03,// INC BC ; 03
INCsDE = 'h13,// INC DE ; 13
INCsHL = 'h23,// INC HL ; 23
INCsSP = 'h33,// INC SP ; 33
// update all flags from alu16
ED_SBCsHL_REG = 6'b01__0010, // compair with {ir[7:6],ir[3:0]}
ED_ADCsHL_REG = 6'b01__1010, // compair with {ir[7:6],ir[3:0]}
 
 
// the shifts probably muck with all flags (some operations are
// guarenteed not to change certain flags )
// crap docs say sf and zf never change for these ops.
RLA = 'h17,// RLA ; 17
RLCA = 'h07,// RLCA ; 07
RRA = 'h1F,// RRA ; 1F
RRCA = 'h0F,// RRCA ; 0F
// sf and zf do change for theses
CB_RLC = 5'b00_000, // these must be compaired with ir[7:3]
CB_RRC = 5'b00_001, // these must be compaired with ir[7:3]
CB_RL = 5'b00_010, // these must be compaired with ir[7:3]
CB_RR = 5'b00_011, // these must be compaired with ir[7:3]
CB_SLA = 5'b00_100, // these must be compaired with ir[7:3]
CB_SRA = 5'b00_101, // these must be compaired with ir[7:3]
CB_SLL = 5'b00_110, // these must be compaired with ir[7:3]
CB_SRL = 5'b00_111, // these must be compaired with ir[7:3]
 
// pretty nomal stuff here
CB_BIT = 2'b01, // these must be compaired with ir[7:6]
// which alu? --
ED_NEG = 5'b01___100, // compair with {ir[7:6],ir[2:0]} all A<= -A
// rmw 8 types
INCs6HL7 = 'h34,// INC (HL) ; 34
DECs6HL7 = 'h35,// DEC (HL) ; 35
 
// ED Block Move messyness
// hf and nf <= 0 pnf<= BC==0
ED_LDI = 'hA0// LDI ; ED A0 (DE++) <= (HL++) , BC--
ED_LDD = 'hA8// LDD ; ED A8 (DE--) <= (HL--) , BC--
ED_LDIR = 'hB0// LDIR ; ED B0 (DE++) <= (HL++) , BC-- Repeat til BC==0
ED_LDDR = 'hB8// LDDR ; ED B8 (DE--) <= (HL--) , BC-- Repeat til BC==0
// only c not affected - nf<=1 ?
ED_CPI = 'hA1// CPI ; ED A1 A - (HL++) , BC--
ED_CPD = 'hA9// CPD ; ED A9 A - (HL--) , BC--
ED_CPIR = 'hB1// CPIR ; ED B1 A - (HL++) , BC-- repeat if(|B
ED_CPDR = 'hB9// CPDR ; ED B9 A - (HL--) , BC-- repeat if(|B
 
// all the ed i/o muck with all flags -- wonderful cf?
// use the aluoutput for the b-1 computation.
ED_INI = 'hA2// INI ; ED A2 (HL++) <- (Cio) , B--
ED_IND = 'hAA// IND ; ED AA (HL--) <- (Cio) , B--
ED_INIR = 'hB2// INIR ; ED B2 (HL++) <- (Cio) , B-- repeat if(|B)
ED_INDR = 'hBA// INDR ; ED BA (HL--) <- (Cio) , B-- repeat if(|B)
ED_OUTI = 'hA3// OUTI ; ED A3 (Cio) <-(HL++) , B--
ED_OUTD = 'hAB// OUTD ; ED AB (Cio) <-(HL--) , B--
ED_OTIR = 'hB3// OTIR ; ED B3 (Cio) <-(HL++) , B-- rpt if(|B)
ED_OTDR = 'hBB// OTDR ; ED BB (Cio) <-(HL--) , B-- rpt if(|B)
 
ED_INsREG_6C7 = 5'b01___000,// compair with {ir[7:6],ir[2:0]} really (BCio)
ED_OUTs6C7_REG = 5'b01___001,// compair with {ir[7:6],ir[2:0]}
 
 
 
// special problems
CCF = 'h3F,// CCF ; 3F // h<=c c<=1C N<=0 F3,F5?
CPL = 'h2F,// CPL ; 2F // H<=1 N<=1 F3,F5?
DAA = 'h27,// DAA ; 27 // H<=0???
SCF = 'h37,// SCF ; 37
ED_RRD = 'h67// RRD ; ED 67 nibble roates A HL
ED_RLD = 'h6F// RLD ; ED 6F nibble roates A HL
ED_LDsA_I = 'h57// LD A,I ; ED 57 move I to A
 
 
 
// no changes
CB_RES = 2'b10, // these must be compaired with ir[7:6]
CB_SET = 2'b11, // these must be compaired with ir[7:6]
DECsBC = 'h0B,// DEC BC ; 0B
DECsDE = 'h1B,// DEC DE ; 1B
DECsHL = 'h2B,// DEC HL ; 2B
DECsSP = 'h3B,// DEC SP ; 3B
DI = 'hF3,// DI ; F3
EI = 'hFB,// EI ; FB
EXX = 'hD9,// EXX ; D9
EXsAF_AFp = 'h08,// EX AF,AF' ; 08
EXsDE_HL = 'hEB,// EX DE,HL ; EB
HALT = 'h76,// HALT ; 76
LDsA_A = 'h7F,// LD A,A ; 7F
LDsA_B = 'h78,// LD A,B ; 78
LDsA_C = 'h79,// LD A,C ; 79
LDsA_D = 'h7A,// LD A,D ; 7A
LDsA_E = 'h7B,// LD A,E ; 7B
LDsA_H = 'h7C,// LD A,H ; 7C
LDsA_L = 'h7D,// LD A,L ; 7D
LDsA_N = 'h3E,// LD A,N ; 3E XX
LDsBC_nn = 'h01,// LD BC,NN ; 01 XX XX
LDsB_A = 'h47,// LD B,A ; 47
LDsB_B = 'h40,// LD B,B ; 40
LDsB_C = 'h41,// LD B,C ; 41
LDsB_D = 'h42,// LD B,D ; 42
LDsB_E = 'h43,// LD B,E ; 43
LDsB_H = 'h44,// LD B,H ; 44
LDsB_L = 'h45,// LD B,L ; 45
LDsB_N = 'h06,// LD B,N ; 06 XX
LDsC_A = 'h4F,// LD C,A ; 4F
LDsC_B = 'h48,// LD C,B ; 48
LDsC_C = 'h49,// LD C,C ; 49
LDsC_D = 'h4A,// LD C,D ; 4A
LDsC_E = 'h4B,// LD C,E ; 4B
LDsC_H = 'h4C,// LD C,H ; 4C
LDsC_L = 'h4D,// LD C,L ; 4D
LDsC_N = 'h0E,// LD C,N ; 0E XX
LDsDE_NN = 'h11,// LD DE,NN ; 11 XX XX
LDsD_A = 'h57,// LD D,A ; 57
LDsD_B = 'h50,// LD D,B ; 50
LDsD_C = 'h51,// LD D,C ; 51
LDsD_D = 'h52,// LD D,D ; 52
LDsD_E = 'h53,// LD D,E ; 53
LDsD_H = 'h54,// LD D,H ; 54
LDsD_L = 'h55,// LD D,L ; 55
LDsD_N = 'h16,// LD D,N ; 16 XX
LDsE_A = 'h5F,// LD E,A ; 5F
LDsE_B = 'h58,// LD E,B ; 58
LDsE_C = 'h59,// LD E,C ; 59
LDsE_D = 'h5A,// LD E,D ; 5A
LDsE_E = 'h5B,// LD E,E ; 5B
LDsE_H = 'h5C,// LD E,H ; 5C
LDsE_L = 'h5D,// LD E,L ; 5D
LDsE_N = 'h1E,// LD E,N ; 1E XX
LDsHL_NN = 'h21,// LD HL,NN ; 21 XX XX
LDsH_A = 'h67,// LD H,A ; 67
LDsH_B = 'h60,// LD H,B ; 60
LDsH_C = 'h61,// LD H,C ; 61
LDsH_D = 'h62,// LD H,D ; 62
LDsH_E = 'h63,// LD H,E ; 63
LDsH_H = 'h64,// LD H,H ; 64
LDsH_L = 'h65,// LD H,L ; 65
LDsH_N = 'h26,// LD H,N ; 26 XX
LDsL_A = 'h6F,// LD L,A ; 6F
LDsL_B = 'h68,// LD L,B ; 68
LDsL_C = 'h69,// LD L,C ; 69
LDsL_D = 'h6A,// LD L,D ; 6A
LDsL_E = 'h6B,// LD L,E ; 6B
LDsL_H = 'h6C,// LD L,H ; 6C
LDsL_L = 'h6D,// LD L,L ; 6D
LDsL_N = 'h2E,// LD L,N ; 2E XX
LDsSP_HL = 'hF9,// LD SP,HL ; F9
LDsSP_NN = 'h31,// LD SP,NN ; 31 XX XX
NOP = 'h00,// NOP ; 00
ED_IMs0 = 'h46// IM 0 ; ED 46 set IM0
ED_LDsI_A = 'h47// LD I,A ; ED 47 move a to I
ED_IMs1 = 'h56// IM 1 ; ED 56 set IM1
ED_IMs2 = 'h5E// IM 2 ; ED 5E set IM2
// load 8 types
LDsA_6BC7 = 'h0A,// LD A,(BC) ; 0A
LDsA_6DE7 = 'h1A,// LD A,(DE) ; 1A
LDsB_6HL7 = 'h46,// LD B,(HL) ; 46
LDsD_6HL7 = 'h56,// LD D,(HL) ; 56
LDsH_6HL7 = 'h66,// LD H,(HL) ; 66
ADDsA_6HL7 = 'h86,// ADD A,(HL) ; 86
SUBs6HL7 = 'h96,// SUB (HL) ; 96
ANDs6HL7 = 'hA6,// AND (HL) ; A6
ORs6HL7 = 'hB6,// OR (HL) ; B6
LDsC_6HL7 = 'h4E,// LD C,(HL) ; 4E
LDsE_6HL7 = 'h5E,// LD E,(HL) ; 5E
LDsL_6HL7 = 'h6E,// LD L,(HL) ; 6E
LDsA_6HL7 = 'h7E,// LD A,(HL) ; 7E
ADCsA_6HL7 = 'h8E,// ADC A,(HL) ; 8E
SBCs6HL7 = 'h9E,// SBC (HL) ; 9E
XORs6HL7 = 'hAE,// XOR (HL) ; AE
CPs6HL7 = 'hBE,// CP (HL) ; BE
LDsA_6NN7 = 'h3A,// LD A,(NN) ; 3A XX XX
CB_MEM & CB_BIT = 2'b01, // (HL) these must be compaired with ir[7:6]
// load 16 types
LDsHL_6NN7 = 'h2A,// LD HL,(NN) ; 2A XX XX
POPsAF = 'hF1,// POP AF ; F1 AF<- (SP++ ++)
POPsBC = 'hC1,// POP BC ; C1 BC<- (SP++ ++)
POPsDE = 'hD1,// POP DE ; D1 DE<- (SP++ ++)
POPsHL = 'hE1,// POP HL ; E1 HL<- (SP++ ++)
ED_LDsREG_6NN7 = 6'b01__1011, // compair with {ir[7:6],ir[3:0]} REG = BC,DE,HL,SP
// store 8 types
LDs6HL7_N = 'h36,// LD (HL),N ; 36 XX
LDs6BC7_A = 'h02,// LD (BC),A ; 02
LDs6DE7_A = 'h12,// LD (DE),A ; 12
LDs6HL7_A = 'h77,// LD (HL),A ; 77
LDs6HL7_B = 'h70,// LD (HL),B ; 70
LDs6HL7_C = 'h71,// LD (HL),C ; 71
LDs6HL7_D = 'h72,// LD (HL),D ; 72
LDs6HL7_E = 'h73,// LD (HL),E ; 73
LDs6HL7_H = 'h74,// LD (HL),H ; 74
LDs6HL7_L = 'h75,// LD (HL),L ; 75
LDs6NN7_A = 'h32,// LD (NN),A ; 32 XX XX
// store 16 types
PUSHsAF = 'hF5,// PUSH AF ; F5 (-- --SP) <- AF
PUSHsBC = 'hC5,// PUSH BC ; C5 (-- --SP) <- BC
PUSHsDE = 'hD5,// PUSH DE ; D5 (-- --SP) <- DE
PUSHsHL = 'hE5,// PUSH HL ; E5 (-- --SP) <- HL
LDs6NN7_HL = 'h22,// LD (NN),HL ; 22 XX XX
ED_LDs6NN7_REG = 6'b01__0011,// XX XX compair with {ir[7:6],ir[3:0]} REG = BC,DE,HL,SP
 
 
 
// rmw 16 types
EXs6SP7_HL = 'hE3,// EX (SP),HL ; E3
 
// Jumps only trick here is that next inst is not valid - ignore it
JRs$t2 = 'h18,// JR $+2 ; 18 XX
JRsC_$t2 = 'h38,// JR C,$+2 ; 38
JRsNC_$t2 = 'h30,// JR NC,$+2 ; 30
JRsNZ_$t2 = 'h20,// JR NZ,$+2 ; 20
JRsZ_$t2 = 'h28,// JR Z,$+2 ; 28
DJNZs$t2 = 'h10,// DJNZ $+2 ; 10 XX XX
JPs = 'hC3,// JP $+3 ; C3 XX XX
JPsC = 'hDA,// JP C,$+3 ; DA XX XX
JPsHL = 'hE9,// JP HL ; E9 // documented as indirect IS NOT
JPsM = 'hFA,// JP M,$+3 ; FA XX XX
JPsNC = 'hD2,// JP NC,$+3 ; D2 XX XX
JPsNZ = 'hC2,// JP NZ,$+3 ; C2 XX XX
JPsPE = 'hEA,// JP PE,$+3 ; EA XX XX
JPsPO = 'hE2,// JP PO,$+3 ; E2 XX XX
JPsP = 'hF2,// JP P,$+3 ; F2 XX XX
JPsZ = 'hCA,// JP Z,$+3 ; CA XX XX
RSTs0 = 'hC7,// RST 0 ; C7
RSTs8H = 'hCF,// RST 8H ; CF
RSTs10H = 'hD7,// RST 10H ; D7
RSTs18H = 'hDF,// RST 18H ; DF
RSTs20H = 'hE7,// RST 20H ; E7
RSTs28H = 'hEF,// RST 28H ; EF
RSTs30H = 'hF7,// RST 30H ; F7
RSTs38H = 'hFF,// RST 38H ; FF
 
 
// calls
CALLsC_NN = 'hDC,// CALL C,NN ; DC XX XX (-- --SP) <- PC, PC<-NN
CALLsM_NN = 'hFC,// CALL M,NN ; FC XX XX (-- --SP) <- PC, PC<-NN
CALLsNC_NN = 'hD4,// CALL NC,NN ; D4 XX XX (-- --SP) <- PC, PC<-NN
CALLsNN = 'hCD,// CALL NN ; CD XX XX (-- --SP) <- PC, PC<-NN
CALLsNZ_NN = 'hC4,// CALL NZ,NN ; C4 XX XX (-- --SP) <- PC, PC<-NN
CALLsPE_NN = 'hEC,// CALL PE,NN ; EC XX XX (-- --SP) <- PC, PC<-NN
CALLsPO_NN = 'hE4,// CALL PO,NN ; E4 XX XX (-- --SP) <- PC, PC<-NN
CALLsP_NN = 'hF4,// CALL P,NN ; F4 XX XX (-- --SP) <- PC, PC<-NN
CALLsZ_NN = 'hCC,// CALL Z,NN ; CC XX XX (-- --SP) <- PC, PC<-NN
 
//returns
RET = 'hC9,// RET ; C9 PC <- (SP++ ++)
RETsC = 'hD8,// RET C ; D8 PC <- (SP++ ++)
RETsM = 'hF8,// RET M ; F8 PC <- (SP++ ++)
RETsNC = 'hD0,// RET NC ; D0 PC <- (SP++ ++)
RETsNZ = 'hC0,// RET NZ ; C0 PC <- (SP++ ++)
RETsP = 'hF0,// RET P ; F0 PC <- (SP++ ++)
RETsPE = 'hE8,// RET PE ; E8 PC <- (SP++ ++)
RETsPO = 'hE0,// RET PO ; E0 PC <- (SP++ ++)
RETsZ = 'hC8,// RET Z ; C8 PC <- (SP++ ++)
ED_RETN = 5'b01___101; // compair with {ir[7:6],ir[2:0]} and !reti
 
 
//io input no flag chnges for this one
INsA_6N7 = 'hDB,// IN A,(N) ; DB XX A<-(Nio)//silly
 
//io output
OUTs6N7_A = 'hD3,// OUT (N),A ; D3 XX A-> (Nio)
 
 
 
 
 
 
CBgrp = 'hCB,// CBgrp is rotates and bit munging below
EDgrp = 'hED,// EDgrp ED
FDgrp = 'hFD,// FDgrp FD
DDgrp = 'hDD,// DDgrp
/trunk/doc/opcodes.txt
0,0 → 1,696
NN EQU 1234H ; a sixteen bit number
N EQU 56H ; an eight bit number
 
NOP ; 00
LD BC,NN ; 01 XX XX
LD (BC),A ; 02
INC BC ; 03
INC B ; 04
DEC B ; 05
LD B,N ; 06 XX
RLCA ; 07
EX AF,AF' ; 08
ADD HL,BC ; 09
LD A,(BC) ; 0A
DEC BC ; 0B
INC C ; 0C
DEC C ; 0D
LD C,N ; 0E XX
RRCA ; 0F
DJNZ $+2 ; 10
LD DE,NN ; 11 XX XX
LD (DE),A ; 12
INC DE ; 13
INC D ; 14
DEC D ; 15
LD D,N ; 16 XX
RLA ; 17
JR $+2 ; 18
ADD HL,DE ; 19
LD A,(DE) ; 1A
DEC DE ; 1B
INC E ; 1C
DEC E ; 1D
LD E,N ; 1E XX
RRA ; 1F
JR NZ,$+2 ; 20
LD HL,NN ; 21 XX XX
LD (NN),HL ; 22 XX XX
INC HL ; 23
INC H ; 24
DEC H ; 25
LD H,N ; 26 XX
DAA ; 27
JR Z,$+2 ; 28
ADD HL,HL ; 29
LD HL,(NN) ; 2A XX XX
DEC HL ; 2B
INC L ; 2C
DEC L ; 2D
LD L,N ; 2E XX
CPL ; 2F
JR NC,$+2 ; 30
LD SP,NN ; 31 XX XX
LD (NN),A ; 32 XX XX
INC SP ; 33
INC (HL) ; 34
DEC (HL) ; 35
LD (HL),N ; 36 XX
SCF ; 37
JR C,$+2 ; 38
ADD HL,SP ; 39
LD A,(NN) ; 3A XX XX
DEC SP ; 3B
INC A ; 3C
DEC A ; 3D
LD A,N ; 3E XX
CCF ; 3F
LD B,B ; 40
LD B,C ; 41
LD B,D ; 42
LD B,E ; 43
LD B,H ; 44
LD B,L ; 45
LD B,(HL) ; 46
LD B,A ; 47
LD C,B ; 48
LD C,C ; 49
LD C,D ; 4A
LD C,E ; 4B
LD C,H ; 4C
LD C,L ; 4D
LD C,(HL) ; 4E
LD C,A ; 4F
LD D,B ; 50
LD D,C ; 51
LD D,D ; 52
LD D,E ; 53
LD D,H ; 54
LD D,L ; 55
LD D,(HL) ; 56
LD D,A ; 57
LD E,B ; 58
LD E,C ; 59
LD E,D ; 5A
LD E,E ; 5B
LD E,H ; 5C
LD E,L ; 5D
LD E,(HL) ; 5E
LD E,A ; 5F
LD H,B ; 60
LD H,C ; 61
LD H,D ; 62
LD H,E ; 63
LD H,H ; 64
LD H,L ; 65
LD H,(HL) ; 66
LD H,A ; 67
LD L,B ; 68
LD L,C ; 69
LD L,D ; 6A
LD L,E ; 6B
LD L,H ; 6C
LD L,L ; 6D
LD L,(HL) ; 6E
LD L,A ; 6F
LD (HL),B ; 70
LD (HL),C ; 71
LD (HL),D ; 72
LD (HL),E ; 73
LD (HL),H ; 74
LD (HL),L ; 75
HALT ; 76
LD (HL),A ; 77
LD A,B ; 78
LD A,C ; 79
LD A,D ; 7A
LD A,E ; 7B
LD A,H ; 7C
LD A,L ; 7D
LD A,(HL) ; 7E
LD A,A ; 7F
ADD A,B ; 80
ADD A,C ; 81
ADD A,D ; 82
ADD A,E ; 83
ADD A,H ; 84
ADD A,L ; 85
ADD A,(HL) ; 86
ADD A,A ; 87
ADC A,B ; 88
ADC A,C ; 89
ADC A,D ; 8A
ADC A,E ; 8B
ADC A,H ; 8C
ADC A,L ; 8D
ADC A,(HL) ; 8E
ADC A,A ; 8F
SUB B ; 90
SUB C ; 91
SUB D ; 92
SUB E ; 93
SUB H ; 94
SUB L ; 95
SUB (HL) ; 96
SUB A ; 97
SBC B ; 98
SBC C ; 99
SBC D ; 9A
SBC E ; 9B
SBC H ; 9C
SBC L ; 9D
SBC (HL) ; 9E
SBC A ; 9F
AND B ; A0
AND C ; A1
AND D ; A2
AND E ; A3
AND H ; A4
AND L ; A5
AND (HL) ; A6
AND A ; A7
XOR B ; A8
XOR C ; A9
XOR D ; AA
XOR E ; AB
XOR H ; AC
XOR L ; AD
XOR (HL) ; AE
XOR A ; AF
OR B ; B0
OR C ; B1
OR D ; B2
OR E ; B3
OR H ; B4
OR L ; B5
OR (HL) ; B6
OR A ; B7
CP B ; B8
CP C ; B9
CP D ; BA
CP E ; BB
CP H ; BC
CP L ; BD
CP (HL) ; BE
CP A ; BF
RET NZ ; C0
POP BC ; C1
JP NZ,$+3 ; C2
JP $+3 ; C3
CALL NZ,NN ; C4 XX XX
PUSH BC ; C5
ADD A,N ; C6 XX
RST 0 ; C7
RET Z ; C8
RET ; C9
JP Z,$+3 ; CA
RLC B ; CB 00
RLC C ; CB 01
RLC D ; CB 02
RLC E ; CB 03
RLC H ; CB 04
RLC L ; CB 05
RLC (HL) ; CB 06
RLC A ; CB 07
RRC B ; CB 08
RRC C ; CB 09
RRC D ; CB 0A
RRC E ; CB 0B
RRC H ; CB 0C
RRC L ; CB 0D
RRC (HL) ; CB 0E
RRC A ; CB 0F
RL B ; CB 10
RL C ; CB 11
RL D ; CB 12
RL E ; CB 13
RL H ; CB 14
RL L ; CB 15
RL (HL) ; CB 16
RL A ; CB 17
RR B ; CB 18
RR C ; CB 19
RR D ; CB 1A
RR E ; CB 1B
RR H ; CB 1C
RR L ; CB 1D
RR (HL) ; CB 1E
RR A ; CB 1F
SLA B ; CB 20
SLA C ; CB 21
SLA D ; CB 22
SLA E ; CB 23
SLA H ; CB 24
SLA L ; CB 25
SLA (HL) ; CB 26
SLA A ; CB 27
SRA B ; CB 28
SRA C ; CB 29
SRA D ; CB 2A
SRA E ; CB 2B
SRA H ; CB 2C
SRA L ; CB 2D
SRA (HL) ; CB 2E
SRA A ; CB 2F
SRL B ; CB 38
SRL C ; CB 39
SRL D ; CB 3A
SRL E ; CB 3B
SRL H ; CB 3C
SRL L ; CB 3D
SRL (HL) ; CB 3E
SRL A ; CB 3F
BIT 0,B ; CB 40
BIT 0,C ; CB 41
BIT 0,D ; CB 42
BIT 0,E ; CB 43
BIT 0,H ; CB 44
BIT 0,L ; CB 45
BIT 0,(HL) ; CB 46
BIT 0,A ; CB 47
BIT 1,B ; CB 48
BIT 1,C ; CB 49
BIT 1,D ; CB 4A
BIT 1,E ; CB 4B
BIT 1,H ; CB 4C
BIT 1,L ; CB 4D
BIT 1,(HL) ; CB 4E
BIT 1,A ; CB 4F
BIT 2,B ; CB 50
BIT 2,C ; CB 51
BIT 2,D ; CB 52
BIT 2,E ; CB 53
BIT 2,H ; CB 54
BIT 2,L ; CB 55
BIT 2,(HL) ; CB 56
BIT 2,A ; CB 57
BIT 3,B ; CB 58
BIT 3,C ; CB 59
BIT 3,D ; CB 5A
BIT 3,E ; CB 5B
BIT 3,H ; CB 5C
BIT 3,L ; CB 5D
BIT 3,(HL) ; CB 5E
BIT 3,A ; CB 5F
BIT 4,B ; CB 60
BIT 4,C ; CB 61
BIT 4,D ; CB 62
BIT 4,E ; CB 63
BIT 4,H ; CB 64
BIT 4,L ; CB 65
BIT 4,(HL) ; CB 66
BIT 4,A ; CB 67
BIT 5,B ; CB 68
BIT 5,C ; CB 69
BIT 5,D ; CB 6A
BIT 5,E ; CB 6B
BIT 5,H ; CB 6C
BIT 5,L ; CB 6D
BIT 5,(HL) ; CB 6E
BIT 5,A ; CB 6F
BIT 6,B ; CB 70
BIT 6,C ; CB 71
BIT 6,D ; CB 72
BIT 6,E ; CB 73
BIT 6,H ; CB 74
BIT 6,L ; CB 75
BIT 6,(HL) ; CB 76
BIT 6,A ; CB 77
BIT 7,B ; CB 78
BIT 7,C ; CB 79
BIT 7,D ; CB 7A
BIT 7,E ; CB 7B
BIT 7,H ; CB 7C
BIT 7,L ; CB 7D
BIT 7,(HL) ; CB 7E
BIT 7,A ; CB 7F
RES 0,B ; CB 80
RES 0,C ; CB 81
RES 0,D ; CB 82
RES 0,E ; CB 83
RES 0,H ; CB 84
RES 0,L ; CB 85
RES 0,(HL) ; CB 86
RES 0,A ; CB 87
RES 1,B ; CB 88
RES 1,C ; CB 89
RES 1,D ; CB 8A
RES 1,E ; CB 8B
RES 1,H ; CB 8C
RES 1,L ; CB 8D
RES 1,(HL) ; CB 8E
RES 1,A ; CB 8F
RES 2,B ; CB 90
RES 2,C ; CB 91
RES 2,D ; CB 92
RES 2,E ; CB 93
RES 2,H ; CB 94
RES 2,L ; CB 95
RES 2,(HL) ; CB 96
RES 2,A ; CB 97
RES 3,B ; CB 98
RES 3,C ; CB 99
RES 3,D ; CB 9A
RES 3,E ; CB 9B
RES 3,H ; CB 9C
RES 3,L ; CB 9D
RES 3,(HL) ; CB 9E
RES 3,A ; CB 9F
RES 4,B ; CB A0
RES 4,C ; CB A1
RES 4,D ; CB A2
RES 4,E ; CB A3
RES 4,H ; CB A4
RES 4,L ; CB A5
RES 4,(HL) ; CB A6
RES 4,A ; CB A7
RES 5,B ; CB A8
RES 5,C ; CB A9
RES 5,D ; CB AA
RES 5,E ; CB AB
RES 5,H ; CB AC
RES 5,L ; CB AD
RES 5,(HL) ; CB AE
RES 5,A ; CB AF
RES 6,B ; CB B0
RES 6,C ; CB B1
RES 6,D ; CB B2
RES 6,E ; CB B3
RES 6,H ; CB B4
RES 6,L ; CB B5
RES 6,(HL) ; CB B6
RES 6,A ; CB B7
RES 7,B ; CB B8
RES 7,C ; CB B9
RES 7,D ; CB BA
RES 7,E ; CB BB
RES 7,H ; CB BC
RES 7,L ; CB BD
RES 7,(HL) ; CB BE
RES 7,A ; CB BF
SET 0,B ; CB C0
SET 0,C ; CB C1
SET 0,D ; CB C2
SET 0,E ; CB C3
SET 0,H ; CB C4
SET 0,L ; CB C5
SET 0,(HL) ; CB C6
SET 0,A ; CB C7
SET 1,B ; CB C8
SET 1,C ; CB C9
SET 1,D ; CB CA
SET 1,E ; CB CB
SET 1,H ; CB CC
SET 1,L ; CB CD
SET 1,(HL) ; CB CE
SET 1,A ; CB CF
SET 2,B ; CB D0
SET 2,C ; CB D1
SET 2,D ; CB D2
SET 2,E ; CB D3
SET 2,H ; CB D4
SET 2,L ; CB D5
SET 2,(HL) ; CB D6
SET 2,A ; CB D7
SET 3,B ; CB D8
SET 3,C ; CB D9
SET 3,D ; CB DA
SET 3,E ; CB DB
SET 3,H ; CB DC
SET 3,L ; CB DD
SET 3,(HL) ; CB DE
SET 3,A ; CB DF
SET 4,B ; CB E0
SET 4,C ; CB E1
SET 4,D ; CB E2
SET 4,E ; CB E3
SET 4,H ; CB E4
SET 4,L ; CB E5
SET 4,(HL) ; CB E6
SET 4,A ; CB E7
SET 5,B ; CB E8
SET 5,C ; CB E9
SET 5,D ; CB EA
SET 5,E ; CB EB
SET 5,H ; CB EC
SET 5,L ; CB ED
SET 5,(HL) ; CB EE
SET 5,A ; CB EF
SET 6,B ; CB F0
SET 6,C ; CB F1
SET 6,D ; CB F2
SET 6,E ; CB F3
SET 6,H ; CB F4
SET 6,L ; CB F5
SET 6,(HL) ; CB F6
SET 6,A ; CB F7
SET 7,B ; CB F8
SET 7,C ; CB F9
SET 7,D ; CB FA
SET 7,E ; CB FB
SET 7,H ; CB FC
SET 7,L ; CB FD
SET 7,(HL) ; CB FE
SET 7,A ; CB FF
CALL Z,NN ; CC XX XX
CALL NN ; CD XX XX
ADC A,N ; CE XX
RST 8H ; CF
RET NC ; D0
POP DE ; D1
JP NC,$+3 ; D2
OUT (N),A ; D3 XX
CALL NC,NN ; D4 XX XX
PUSH DE ; D5
SUB N ; D6 XX
RST 10H ; D7
RET C ; D8
EXX ; D9
JP C,$+3 ; DA
IN A,(N) ; DB XX
CALL C,NN ; DC XX XX
ADD IX,BC ; DD 09
ADD IX,DE ; DD 19
LD IX,NN ; DD 21 XX XX
LD (NN),IX ; DD 22 XX XX
INC IX ; DD 23
ADD IX,IX ; DD 29
LD IX,(NN) ; DD 2A XX XX
DEC IX ; DD 2B
INC (IX+N) ; DD 34 XX
DEC (IX+N) ; DD 35 XX
LD (IX+N),N ; DD 36 XX XX
ADD IX,SP ; DD 39
LD B,(IX+N) ; DD 46 XX
LD C,(IX+N) ; DD 4E XX
LD D,(IX+N) ; DD 56 XX
LD E,(IX+N) ; DD 5E XX
LD H,(IX+N) ; DD 66 XX
LD L,(IX+N) ; DD 6E XX
LD (IX+N),B ; DD 70 XX
LD (IX+N),C ; DD 71 XX
LD (IX+N),D ; DD 72 XX
LD (IX+N),E ; DD 73 XX
LD (IX+N),H ; DD 74 XX
LD (IX+N),L ; DD 75 XX
LD (IX+N),A ; DD 77 XX
LD A,(IX+N) ; DD 7E XX
ADD A,(IX+N) ; DD 86 XX
ADC A,(IX+N) ; DD 8E XX
SUB (IX+N) ; DD 96 XX
SBC A,(IX+N) ; DD 9E XX
AND (IX+N) ; DD A6 XX
XOR (IX+N) ; DD AE XX
OR (IX+N) ; DD B6 XX
CP (IX+N) ; DD BE XX
RLC (IX+N) ; DD CB XX 06
RRC (IX+N) ; DD CB XX 0E
RL (IX+N) ; DD CB XX 16
RR (IX+N) ; DD CB XX 1E
SLA (IX+N) ; DD CB XX 26
SRA (IX+N) ; DD CB XX 2E
BIT 0,(IX+N) ; DD CB XX 46
BIT 1,(IX+N) ; DD CB XX 4E
BIT 2,(IX+N) ; DD CB XX 56
BIT 3,(IX+N) ; DD CB XX 5E
BIT 4,(IX+N) ; DD CB XX 66
BIT 5,(IX+N) ; DD CB XX 6E
BIT 6,(IX+N) ; DD CB XX 76
BIT 7,(IX+N) ; DD CB XX 7E
RES 0,(IX+N) ; DD CB XX 86
RES 1,(IX+N) ; DD CB XX 8E
RES 2,(IX+N) ; DD CB XX 96
RES 3,(IX+N) ; DD CB XX 9E
RES 4,(IX+N) ; DD CB XX A6
RES 5,(IX+N) ; DD CB XX AE
RES 6,(IX+N) ; DD CB XX B6
RES 7,(IX+N) ; DD CB XX BE
SET 0,(IX+N) ; DD CB XX C6
SET 1,(IX+N) ; DD CB XX CE
SET 2,(IX+N) ; DD CB XX D6
SET 3,(IX+N) ; DD CB XX DE
SET 4,(IX+N) ; DD CB XX E6
SET 5,(IX+N) ; DD CB XX EE
SET 6,(IX+N) ; DD CB XX F6
SET 7,(IX+N) ; DD CB XX FE
POP IX ; DD E1
EX (SP),IX ; DD E3
PUSH IX ; DD E5
JP (IX) ; DD E9 // horrible syntax PC <- IX
LD SP,IX ; DD F9
SBC A,N ; DE XX
RST 18H ; DF
RET PO ; E0
POP HL ; E1
JP PO,$+3 ; E2
EX (SP),HL ; E3
CALL PO,NN ; E4 XX XX
PUSH HL ; E5
AND N ; E6 XX
RST 20H ; E7
RET PE ; E8
JP (HL) ; E9 // PC <- HL
JP PE,$+3 ; EA
EX DE,HL ; EB
CALL PE,NN ; EC XX XX
IN B,(C) ; ED 40
OUT (C),B ; ED 41
SBC HL,BC ; ED 42
LD (NN),BC ; ED 43 XX XX
NEG ; ED 44
RETN ; ED 45
IM 0 ; ED 46
LD I,A ; ED 47
IN C,(C) ; ED 48
OUT (C),C ; ED 49
ADC HL,BC ; ED 4A
LD BC,(NN) ; ED 4B XX XX
RETI ; ED 4D
IN D,(C) ; ED 50
OUT (C),D ; ED 51
SBC HL,DE ; ED 52
LD (NN),DE ; ED 53 XX XX
IM 1 ; ED 56
LD A,I ; ED 57
IN E,(C) ; ED 58
OUT (C),E ; ED 59
ADC HL,DE ; ED 5A
LD DE,(NN) ; ED 5B XX XX
IM 2 ; ED 5E
IN H,(C) ; ED 60
OUT (C),H ; ED 61
SBC HL,HL ; ED 62
RRD ; ED 67
IN L,(C) ; ED 68
OUT (C),L ; ED 69
ADC HL,HL ; ED 6A
RLD ; ED 6F
SBC HL,SP ; ED 72
LD (NN),SP ; ED 73 XX XX
IN A,(C) ; ED 78
OUT (C),A ; ED 79
ADC HL,SP ; ED 7A
LD SP,(NN) ; ED 7B XX XX
LDI ; ED A0
CPI ; ED A1
INI ; ED A2
OUTI ; ED A3
LDD ; ED A8
CPD ; ED A9
IND ; ED AA
OUTD ; ED AB
LDIR ; ED B0
CPIR ; ED B1
INIR ; ED B2
OTIR ; ED B3
LDDR ; ED B8
CPDR ; ED B9
INDR ; ED BA
OTDR ; ED BB
XOR N ; EE XX
RST 28H ; EF
RET P ; F0
POP AF ; F1
JP P,$+3 ; F2
DI ; F3
CALL P,NN ; F4 XX XX
PUSH AF ; F5
OR N ; F6 XX
RST 30H ; F7
RET M ; F8
LD SP,HL ; F9
JP M,$+3 ; FA
EI ; FB
CALL M,NN ; FC XX XX
ADD IY,BC ; FD 09
ADD IY,DE ; FD 19
LD IY,NN ; FD 21 XX XX
LD (NN),IY ; FD 22 XX XX
INC IY ; FD 23
ADD IY,IY ; FD 29
LD IY,(NN) ; FD 2A XX XX
DEC IY ; FD 2B
INC (IY+N) ; FD 34 XX
DEC (IY+N) ; FD 35 XX
LD (IY+N),N ; FD 36 XX XX
ADD IY,SP ; FD 39
LD B,(IY+N) ; FD 46 XX
LD C,(IY+N) ; FD 4E XX
LD D,(IY+N) ; FD 56 XX
LD E,(IY+N) ; FD 5E XX
LD H,(IY+N) ; FD 66 XX
LD L,(IY+N) ; FD 6E XX
LD (IY+N),B ; FD 70 XX
LD (IY+N),C ; FD 71 XX
LD (IY+N),D ; FD 72 XX
LD (IY+N),E ; FD 73 XX
LD (IY+N),H ; FD 74 XX
LD (IY+N),L ; FD 75 XX
LD (IY+N),A ; FD 77 XX
LD A,(IY+N) ; FD 7E XX
ADD A,(IY+N) ; FD 86 XX
ADC A,(IY+N) ; FD 8E XX
SUB (IY+N) ; FD 96 XX
SBC A,(IY+N) ; FD 9E XX
AND (IY+N) ; FD A6 XX
XOR (IY+N) ; FD AE XX
OR (IY+N) ; FD B6 XX
CP (IY+N) ; FD BE XX
RLC (IY+N) ; FD CB XX 06
RRC (IY+N) ; FD CB XX 0E
RL (IY+N) ; FD CB XX 16
RR (IY+N) ; FD CB XX 1E
SLA (IY+N) ; FD CB XX 26
SRA (IY+N) ; FD CB XX 2E
BIT 0,(IY+N) ; FD CB XX 46
BIT 1,(IY+N) ; FD CB XX 4E
BIT 2,(IY+N) ; FD CB XX 56
BIT 3,(IY+N) ; FD CB XX 5E
BIT 4,(IY+N) ; FD CB XX 66
BIT 5,(IY+N) ; FD CB XX 6E
BIT 6,(IY+N) ; FD CB XX 76
BIT 7,(IY+N) ; FD CB XX 7E
RES 0,(IY+N) ; FD CB XX 86
RES 1,(IY+N) ; FD CB XX 8E
RES 2,(IY+N) ; FD CB XX 96
RES 3,(IY+N) ; FD CB XX 9E
RES 4,(IY+N) ; FD CB XX A6
RES 5,(IY+N) ; FD CB XX AE
RES 6,(IY+N) ; FD CB XX B6
RES 7,(IY+N) ; FD CB XX BE
SET 0,(IY+N) ; FD CB XX C6
SET 1,(IY+N) ; FD CB XX CE
SET 2,(IY+N) ; FD CB XX D6
SET 3,(IY+N) ; FD CB XX DE
SET 4,(IY+N) ; FD CB XX E6
SET 5,(IY+N) ; FD CB XX EE
SET 6,(IY+N) ; FD CB XX F6
SET 7,(IY+N) ; FD CB XX FE
POP IY ; FD E1
EX (SP),IY ; FD E3
PUSH IY ; FD E5
JP (IY) ; FD E9 PC <- IY horrible syntax seems somewhat global
LD SP,IY ; FD F9
CP N ; FE XX
RST 38H ; FF
 
/trunk/doc/work_i2.txt
0,0 → 1,198
dec i2n1
INCs6IXtN7 ; DD 34 XX
DECs6IXtN7 ; DD 35 XX
LDsB_6IXtN7 ; DD 46 XX
LDsC_6IXtN7 ; DD 4E XX
LDsD_6IXtN7 ; DD 56 XX
LDsE_6IXtN7 ; DD 5E XX
LDsH_6IXtN7 ; DD 66 XX
LDsL_6IXtN7 ; DD 6E XX
LDs6IXtN7_B ; DD 70 XX
LDs6IXtN7_C ; DD 71 XX
LDs6IXtN7_D ; DD 72 XX
LDs6IXtN7_E ; DD 73 XX
LDs6IXtN7_H ; DD 74 XX
LDs6IXtN7_L ; DD 75 XX
LDs6IXtN7_A ; DD 77 XX
LDsA_6IXtN7 ; DD 7E XX
ADDsA_6IXtN7 ; DD 86 XX
ADCsA_6IXtN7 ; DD 8E XX
SUBs6IXtN7 ; DD 96 XX
SBCsA_6IXtN7 ; DD 9E XX
ANDs6IXtN7 ; DD A6 XX
XORs6IXtN7 ; DD AE XX
ORs6IXtN7 ; DD B6 XX
CPs6IXtN7 ; DD BE XX
INCs6IYtN7 ; FD 34 XX
DECs6IYtN7 ; FD 35 XX
LDsB_6IYtN7 ; FD 46 XX
LDsC_6IYtN7 ; FD 4E XX
LDsD_6IYtN7 ; FD 56 XX
LDsE_6IYtN7 ; FD 5E XX
LDsH_6IYtN7 ; FD 66 XX
LDsL_6IYtN7 ; FD 6E XX
LDs6IYtN7_B ; FD 70 XX
LDs6IYtN7_C ; FD 71 XX
LDs6IYtN7_D ; FD 72 XX
LDs6IYtN7_E ; FD 73 XX
LDs6IYtN7_H ; FD 74 XX
LDs6IYtN7_L ; FD 75 XX
LDs6IYtN7_A ; FD 77 XX
LDsA_6IYtN7 ; FD 7E XX
ADDsA_6IYtN7 ; FD 86 XX
ADCsA_6IYtN7 ; FD 8E XX
SUBs6IYtN7 ; FD 96 XX
SBCsA_6IYtN7 ; FD 9E XX
ANDs6IYtN7 ; FD A6 XX
XORs6IYtN7 ; FD AE XX
ORs6IYtN7 ; FD B6 XX
CPs6IYtN7 ; FD BE XX
dec_i2n2
LDsIX_NN ; DD 21 XX XX
LDs6NN7_IX ; DD 22 XX XX
LDsIX_6NN7 ; DD 2A XX XX
LDs6IXtN7_N ; DD 36 XX XX
LDs6NN7_BC ; ED 43 XX XX
LDsBC_6NN7 ; ED 4B XX XX
LDs6NN7_DE ; ED 53 XX XX
LDsDE_6NN7 ; ED 5B XX XX
LDs6NN7_SP ; ED 73 XX XX
LDsSP_6NN7 ; ED 7B XX XX
LDsIY_NN ; FD 21 XX XX
LDs6NN7_IY ; FD 22 XX XX
LDsIY_6NN7 ; FD 2A XX XX
LDs6IYtN7_N ; FD 36 XX XX
dec_ni3 = fdcb | ddcb
RLC (IX+N) ; DD CB XX 06
RRC (IX+N) ; DD CB XX 0E
RL (IX+N) ; DD CB XX 16
RR (IX+N) ; DD CB XX 1E
SLA (IX+N) ; DD CB XX 26
SRA (IX+N) ; DD CB XX 2E
BIT 0,(IX+N) ; DD CB XX 46
BIT 1,(IX+N) ; DD CB XX 4E
BIT 2,(IX+N) ; DD CB XX 56
BIT 3,(IX+N) ; DD CB XX 5E
BIT 4,(IX+N) ; DD CB XX 66
BIT 5,(IX+N) ; DD CB XX 6E
BIT 6,(IX+N) ; DD CB XX 76
BIT 7,(IX+N) ; DD CB XX 7E
RES 0,(IX+N) ; DD CB XX 86
RES 1,(IX+N) ; DD CB XX 8E
RES 2,(IX+N) ; DD CB XX 96
RES 3,(IX+N) ; DD CB XX 9E
RES 4,(IX+N) ; DD CB XX A6
RES 5,(IX+N) ; DD CB XX AE
RES 6,(IX+N) ; DD CB XX B6
RES 7,(IX+N) ; DD CB XX BE
SET 0,(IX+N) ; DD CB XX C6
SET 1,(IX+N) ; DD CB XX CE
SET 2,(IX+N) ; DD CB XX D6
SET 3,(IX+N) ; DD CB XX DE
SET 4,(IX+N) ; DD CB XX E6
SET 5,(IX+N) ; DD CB XX EE
SET 6,(IX+N) ; DD CB XX F6
SET 7,(IX+N) ; DD CB XX FE
RLC (IY+N) ; FD CB XX 06
RRC (IY+N) ; FD CB XX 0E
RL (IY+N) ; FD CB XX 16
RR (IY+N) ; FD CB XX 1E
SLA (IY+N) ; FD CB XX 26
SRA (IY+N) ; FD CB XX 2E
BIT 0,(IY+N) ; FD CB XX 46
BIT 1,(IY+N) ; FD CB XX 4E
BIT 2,(IY+N) ; FD CB XX 56
BIT 3,(IY+N) ; FD CB XX 5E
BIT 4,(IY+N) ; FD CB XX 66
BIT 5,(IY+N) ; FD CB XX 6E
BIT 6,(IY+N) ; FD CB XX 76
BIT 7,(IY+N) ; FD CB XX 7E
RES 0,(IY+N) ; FD CB XX 86
RES 1,(IY+N) ; FD CB XX 8E
RES 2,(IY+N) ; FD CB XX 96
RES 3,(IY+N) ; FD CB XX 9E
RES 4,(IY+N) ; FD CB XX A6
RES 5,(IY+N) ; FD CB XX AE
RES 6,(IY+N) ; FD CB XX B6
RES 7,(IY+N) ; FD CB XX BE
SET 0,(IY+N) ; FD CB XX C6
SET 1,(IY+N) ; FD CB XX CE
SET 2,(IY+N) ; FD CB XX D6
SET 3,(IY+N) ; FD CB XX DE
SET 4,(IY+N) ; FD CB XX E6
SET 5,(IY+N) ; FD CB XX EE
SET 6,(IY+N) ; FD CB XX F6
SET 7,(IY+N) ; FD CB XX FE
 
 
default
ADD IX,BC ; DD 09
ADD IX,DE ; DD 19
INC IX ; DD 23
ADD IX,IX ; DD 29
DEC IX ; DD 2B
ADD IX,SP ; DD 39
POP IX ; DD E1
EX (SP),IX ; DD E3
PUSH IX ; DD E5
JP (IX) ; DD E9
LD SP,IX ; DD F9
IN B,(C) ; ED 40
OUT (C),B ; ED 41
SBC HL,BC ; ED 42
NEG ; ED 44
RETN ; ED 45
IM 0 ; ED 46
LD I,A ; ED 47
IN C,(C) ; ED 48
OUT (C),C ; ED 49
ADC HL,BC ; ED 4A
RETI ; ED 4D
IN D,(C) ; ED 50
OUT (C),D ; ED 51
SBC HL,DE ; ED 52
IM 1 ; ED 56
LD A,I ; ED 57
IN E,(C) ; ED 58
OUT (C),E ; ED 59
ADC HL,DE ; ED 5A
IM 2 ; ED 5E
IN H,(C) ; ED 60
OUT (C),H ; ED 61
SBC HL,HL ; ED 62
RRD ; ED 67
IN L,(C) ; ED 68
OUT (C),L ; ED 69
ADC HL,HL ; ED 6A
RLD ; ED 6F
SBC HL,SP ; ED 72
LDI ; ED A0
CPI ; ED A1
INI ; ED A2
OUTI ; ED A3
LDD ; ED A8
CPD ; ED A9
IND ; ED AA
OUTD ; ED AB
LDIR ; ED B0
CPIR ; ED B1
INIR ; ED B2
OTIR ; ED B3
LDDR ; ED B8
CPDR ; ED B9
INDR ; ED BA
OTDR ; ED BB
ADD IY,BC ; FD 09
ADD IY,DE ; FD 19
INC IY ; FD 23
ADD IY,IY ; FD 29
DEC IY ; FD 2B
ADD IY,SP ; FD 39
POP IY ; FD E1
EX (SP),IY ; FD E3
PUSH IY ; FD E5
JP (IY) ; FD E9
LD SP,IY ; FD F9
/trunk/wb_z80.tws Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
trunk/wb_z80.tws Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/inc/opcodes.v =================================================================== --- trunk/inc/opcodes.v (nonexistent) +++ trunk/inc/opcodes.v (revision 2) @@ -0,0 +1,488 @@ +/////////////////////////////////////////////////////////////////////////////////////////////////// +// // +// file name: opcodes.v // +// description: opcode parameters for z80 // +// project: wb_z80 // +// // +// Author: B.J. Porcella // +// e-mail: bporcella@sbcglobal.net // +// // +// // +// // +/////////////////////////////////////////////////////////////////////////////////////////////////// +// // +// Copyright (C) 2000-2002 B.J. Porcella // +// Real Time Solutions // +// // +// // +// This source file may be used and distributed without // +// restriction provided that this copyright statement is not // +// removed from the file and that any derivative work contains // +// the original copyright notice and the associated disclaimer. // +// // +// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY // +// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED // +// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS // +// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR // +// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, // +// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES // +// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE // +// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR // +// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF // +// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT // +// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT // +// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE // +// POSSIBILITY OF SUCH DAMAGE. // +// // +//-------1---------2---------3--------Comments on file -------------7---------8---------9--------0 +// This file is fundamentally a hack of an opcode file found on: +// http://www.z80.info/ (perhaps written by Thomas Scherrer) +// +// The purpose of the origiional file was to aid in low level z80 software debug. +// Here, we are trying to make the parameters we use for instruction decoding +// as easy to understand as possible. +// +// The origional file is included as a comment below. (this is a very long file) +// It is then re-produced with transformations -- so the significance of the parameters should +// be very clear. +// +// Note how assembler syntax is transformed +// into verilog symbols. +// +// +// I'm going to define all parameters as standard integer length as they will be used +// in comparisons of various lengths.............. +// generally 8 bits, but there is 3 bit extension that may apply to any parameter... +// 0 +// 1 CBgrp (shifts and Bit banging) +// 2 DDgrp (mostly indexed addressing) +// 3 DDCBgrp (indexed bit banging) +// 3 EDgrp (a wild mix of stuff ) +// 4 FDgrp (more indexed stuff ) +// 5 FDCBgrp (indexed bit banging) +// +// +//-------1---------2---------3--------CVS Log -----------------------7---------8---------9--------0 +// +// $Id: opcodes.v,v 1.1.1.1 2004-04-13 23:47:56 bporcella Exp $ +// +// $Date: 2004-04-13 23:47:56 $ +// $Revision: 1.1.1.1 $ +// $Author: bporcella $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +//-------1---------2---------3--------Comments on file -------------7---------8---------9--------0 +// +parameter NOP = 10'h00,// NOP ; 00 + LDsBC_NN = 10'h01,// LD BC,NN ; 01 XX XX + LDs6BC7_A = 10'h02,// LD (BC),A ; 02 + INCsBC = 10'h03,// INC BC ; 03 + INCsB = 10'h04,// INC B ; 04 + DECsB = 10'h05,// DEC B ; 05 + LDsB_N = 10'h06,// LD B,N ; 06 XX + RLCA = 10'h07,// RLCA ; 07 + EXsAF_AFp = 10'h08,// EX AF,AF' ; 08 + ADDsHL_BC = 10'h09,// ADD HL,BC ; 09 + LDsA_6BC7 = 10'h0A,// LD A,(BC) ; 0A + DECsBC = 10'h0B,// DEC BC ; 0B + INCsC = 10'h0C,// INC C ; 0C + DECsC = 10'h0D,// DEC C ; 0D + LDsC_N = 10'h0E,// LD C,N ; 0E XX + RRCA = 10'h0F,// RRCA ; 0F + DJNZs$t2 = 10'h10,// DJNZ $+2 ; 10 XX + LDsDE_NN = 10'h11,// LD DE,NN ; 11 XX XX + LDs6DE7_A = 10'h12,// LD (DE),A ; 12 + INCsDE = 10'h13,// INC DE ; 13 + INCsD = 10'h14,// INC D ; 14 + DECsD = 10'h15,// DEC D ; 15 + LDsD_N = 10'h16,// LD D,N ; 16 XX + RLA = 10'h17,// RLA ; 17 + JRs$t2 = 10'h18,// JR $+2 ; 18 XX + ADDsHL_DE = 10'h19,// ADD HL,DE ; 19 + LDsA_6DE7 = 10'h1A,// LD A,(DE) ; 1A + DECsDE = 10'h1B,// DEC DE ; 1B + INCsE = 10'h1C,// INC E ; 1C + DECsE = 10'h1D,// DEC E ; 1D + LDsE_N = 10'h1E,// LD E,N ; 1E XX + RRA = 10'h1F,// RRA ; 1F + JRsNZ_$t2 = 10'h20,// JR NZ,$+2 ; 20 + LDsHL_NN = 10'h21,// LD HL,NN ; 21 XX XX + LDs6NN7_HL = 10'h22,// LD (NN),HL ; 22 XX XX + INCsHL = 10'h23,// INC HL ; 23 + INCsH = 10'h24,// INC H ; 24 + DECsH = 10'h25,// DEC H ; 25 + LDsH_N = 10'h26,// LD H,N ; 26 XX + DAA = 10'h27,// DAA ; 27 + JRsZ_$t2 = 10'h28,// JR Z,$+2 ; 28 XX + ADDsHL_HL = 10'h29,// ADD HL,HL ; 29 + LDsHL_6NN7 = 10'h2A,// LD HL,(NN) ; 2A XX XX + DECsHL = 10'h2B,// DEC HL ; 2B + INCsL = 10'h2C,// INC L ; 2C + DECsL = 10'h2D,// DEC L ; 2D + LDsL_N = 10'h2E,// LD L,N ; 2E XX + CPL = 10'h2F,// CPL ; 2F + JRsNC_$t2 = 10'h30,// JR NC,$+2 ; 30 XX + LDsSP_NN = 10'h31,// LD SP,NN ; 31 XX XX + LDs6NN7_A = 10'h32,// LD (NN),A ; 32 XX XX + INCsSP = 10'h33,// INC SP ; 33 + INCs6HL7 = 10'h34,// INC (HL) ; 34 + DECs6HL7 = 10'h35,// DEC (HL) ; 35 + LDs6HL7_N = 10'h36,// LD (HL),N ; 36 XX + SCF = 10'h37,// SCF ; 37 + JRsC_$t2 = 10'h38,// JR C,$+2 ; 38 XX + ADDsHL_SP = 10'h39,// ADD HL,SP ; 39 + LDsA_6NN7 = 10'h3A,// LD A,(NN) ; 3A XX XX + DECsSP = 10'h3B,// DEC SP ; 3B + INCsA = 10'h3C,// INC A ; 3C + DECsA = 10'h3D,// DEC A ; 3D + LDsA_N = 10'h3E,// LD A,N ; 3E XX + CCF = 10'h3F,// CCF ; 3F + LDsB_B = 10'h40,// LD B,B ; 40 + LDsB_C = 10'h41,// LD B,C ; 41 + LDsB_D = 10'h42,// LD B,D ; 42 + LDsB_E = 10'h43,// LD B,E ; 43 + LDsB_H = 10'h44,// LD B,H ; 44 + LDsB_L = 10'h45,// LD B,L ; 45 + LDsB_6HL7 = 10'h46,// LD B,(HL) ; 46 + LDsB_A = 10'h47,// LD B,A ; 47 + LDsC_B = 10'h48,// LD C,B ; 48 + LDsC_C = 10'h49,// LD C,C ; 49 + LDsC_D = 10'h4A,// LD C,D ; 4A + LDsC_E = 10'h4B,// LD C,E ; 4B + LDsC_H = 10'h4C,// LD C,H ; 4C + LDsC_L = 10'h4D,// LD C,L ; 4D + LDsC_6HL7 = 10'h4E,// LD C,(HL) ; 4E + LDsC_A = 10'h4F,// LD C,A ; 4F + LDsD_B = 10'h50,// LD D,B ; 50 + LDsD_C = 10'h51,// LD D,C ; 51 + LDsD_D = 10'h52,// LD D,D ; 52 + LDsD_E = 10'h53,// LD D,E ; 53 + LDsD_H = 10'h54,// LD D,H ; 54 + LDsD_L = 10'h55,// LD D,L ; 55 + LDsD_6HL7 = 10'h56,// LD D,(HL) ; 56 + LDsD_A = 10'h57,// LD D,A ; 57 + LDsE_B = 10'h58,// LD E,B ; 58 + LDsE_C = 10'h59,// LD E,C ; 59 + LDsE_D = 10'h5A,// LD E,D ; 5A + LDsE_E = 10'h5B,// LD E,E ; 5B + LDsE_H = 10'h5C,// LD E,H ; 5C + LDsE_L = 10'h5D,// LD E,L ; 5D + LDsE_6HL7 = 10'h5E,// LD E,(HL) ; 5E + LDsE_A = 10'h5F,// LD E,A ; 5F + LDsH_B = 10'h60,// LD H,B ; 60 + LDsH_C = 10'h61,// LD H,C ; 61 + LDsH_D = 10'h62,// LD H,D ; 62 + LDsH_E = 10'h63,// LD H,E ; 63 + LDsH_H = 10'h64,// LD H,H ; 64 + LDsH_L = 10'h65,// LD H,L ; 65 + LDsH_6HL7 = 10'h66,// LD H,(HL) ; 66 + LDsH_A = 10'h67,// LD H,A ; 67 + LDsL_B = 10'h68,// LD L,B ; 68 + LDsL_C = 10'h69,// LD L,C ; 69 + LDsL_D = 10'h6A,// LD L,D ; 6A + LDsL_E = 10'h6B,// LD L,E ; 6B + LDsL_H = 10'h6C,// LD L,H ; 6C + LDsL_L = 10'h6D,// LD L,L ; 6D + LDsL_6HL7 = 10'h6E,// LD L,(HL) ; 6E + LDsL_A = 10'h6F,// LD L,A ; 6F + LDs6HL7_B = 10'h70,// LD (HL),B ; 70 + LDs6HL7_C = 10'h71,// LD (HL),C ; 71 + LDs6HL7_D = 10'h72,// LD (HL),D ; 72 + LDs6HL7_E = 10'h73,// LD (HL),E ; 73 + LDs6HL7_H = 10'h74,// LD (HL),H ; 74 + LDs6HL7_L = 10'h75,// LD (HL),L ; 75 + HALT = 10'h76,// HALT ; 76 + LDs6HL7_A = 10'h77,// LD (HL),A ; 77 + LDsA_B = 10'h78,// LD A,B ; 78 + LDsA_C = 10'h79,// LD A,C ; 79 + LDsA_D = 10'h7A,// LD A,D ; 7A + LDsA_E = 10'h7B,// LD A,E ; 7B + LDsA_H = 10'h7C,// LD A,H ; 7C + LDsA_L = 10'h7D,// LD A,L ; 7D + LDsA_6HL7 = 10'h7E,// LD A,(HL) ; 7E + LDsA_A = 10'h7F,// LD A,A ; 7F + ADDsA_B = 10'h80,// ADD A,B ; 80 + ADDsA_C = 10'h81,// ADD A,C ; 81 + ADDsA_D = 10'h82,// ADD A,D ; 82 + ADDsA_E = 10'h83,// ADD A,E ; 83 + ADDsA_H = 10'h84,// ADD A,H ; 84 + ADDsA_L = 10'h85,// ADD A,L ; 85 + ADDsA_6HL7 = 10'h86,// ADD A,(HL) ; 86 + ADDsA_A = 10'h87,// ADD A,A ; 87 + ADCsA_B = 10'h88,// ADC A,B ; 88 + ADCsA_C = 10'h89,// ADC A,C ; 89 + ADCsA_D = 10'h8A,// ADC A,D ; 8A + ADCsA_E = 10'h8B,// ADC A,E ; 8B + ADCsA_H = 10'h8C,// ADC A,H ; 8C + ADCsA_L = 10'h8D,// ADC A,L ; 8D + ADCsA_6HL7 = 10'h8E,// ADC A,(HL) ; 8E + ADCsA_A = 10'h8F,// ADC A,A ; 8F + SUBsB = 10'h90,// SUB B ; 90 + SUBsC = 10'h91,// SUB C ; 91 + SUBsD = 10'h92,// SUB D ; 92 + SUBsE = 10'h93,// SUB E ; 93 + SUBsH = 10'h94,// SUB H ; 94 + SUBsL = 10'h95,// SUB L ; 95 + SUBs6HL7 = 10'h96,// SUB (HL) ; 96 + SUBsA = 10'h97,// SUB A ; 97 + SBCsB = 10'h98,// SBC B ; 98 + SBCsC = 10'h99,// SBC C ; 99 + SBCsD = 10'h9A,// SBC D ; 9A + SBCsE = 10'h9B,// SBC E ; 9B + SBCsH = 10'h9C,// SBC H ; 9C + SBCsL = 10'h9D,// SBC L ; 9D + SBCs6HL7 = 10'h9E,// SBC (HL) ; 9E + SBCsA = 10'h9F,// SBC A ; 9F + ANDsB = 10'hA0,// AND B ; A0 + ANDsC = 10'hA1,// AND C ; A1 + ANDsD = 10'hA2,// AND D ; A2 + ANDsE = 10'hA3,// AND E ; A3 + ANDsH = 10'hA4,// AND H ; A4 + ANDsL = 10'hA5,// AND L ; A5 + ANDs6HL7 = 10'hA6,// AND (HL) ; A6 + ANDsA = 10'hA7,// AND A ; A7 + XORsB = 10'hA8,// XOR B ; A8 + XORsC = 10'hA9,// XOR C ; A9 + XORsD = 10'hAA,// XOR D ; AA + XORsE = 10'hAB,// XOR E ; AB + XORsH = 10'hAC,// XOR H ; AC + XORsL = 10'hAD,// XOR L ; AD + XORs6HL7 = 10'hAE,// XOR (HL) ; AE + XORsA = 10'hAF,// XOR A ; AF + ORsB = 10'hB0,// OR B ; B0 + ORsC = 10'hB1,// OR C ; B1 + ORsD = 10'hB2,// OR D ; B2 + ORsE = 10'hB3,// OR E ; B3 + ORsH = 10'hB4,// OR H ; B4 + ORsL = 10'hB5,// OR L ; B5 + ORs6HL7 = 10'hB6,// OR (HL) ; B6 + ORsA = 10'hB7,// OR A ; B7 + CPsB = 10'hB8,// CP B ; B8 + CPsC = 10'hB9,// CP C ; B9 + CPsD = 10'hBA,// CP D ; BA + CPsE = 10'hBB,// CP E ; BB + CPsH = 10'hBC,// CP H ; BC + CPsL = 10'hBD,// CP L ; BD + CPs6HL7 = 10'hBE,// CP (HL) ; BE + CPsA = 10'hBF,// CP A ; BF + RETsNZ = 10'hC0,// RET NZ ; C0 + POPsBC = 10'hC1,// POP BC ; C1 + JPsNZ = 10'hC2,// JP NZ ; C2 XX XX + JP = 10'hC3,// JP ; C3 XX XX + CALLsNZ_NN = 10'hC4,// CALL NZ,NN ; C4 XX XX + PUSHsBC = 10'hC5,// PUSH BC ; C5 + ADDsA_N = 10'hC6,// ADD A,N ; C6 XX + RSTs0 = 10'hC7,// RST 0 ; C7 + RETsZ = 10'hC8,// RET Z ; C8 + RET = 10'hC9,// RET ; C9 + JPsZ = 10'hCA,// JP Z ; CA XX XX + CALLsZ_NN = 10'hCC,// CALL Z,NN ; CC XX XX + CBgrp = 10'hCB,// CBgrp is rotates and bit munging below + CALLsNN = 10'hCD,// CALL NN ; CD XX XX + ADCsA_N = 10'hCE,// ADC A,N ; CE XX + RSTs8H = 10'hCF,// RST 8H ; CF + RETsNC = 10'hD0,// RET NC ; D0 + POPsDE = 10'hD1,// POP DE ; D1 + JPsNC = 10'hD2,// JP NC, ; D2 XX XX + OUTs6N7_A = 10'hD3,// OUT (N),A ; D3 XX + CALLsNC_NN = 10'hD4,// CALL NC,NN ; D4 XX XX + PUSHsDE = 10'hD5,// PUSH DE ; D5 + SUBsN = 10'hD6,// SUB N ; D6 XX + RSTs10H = 10'hD7,// RST 10H ; D7 + RETsC = 10'hD8,// RET C ; D8 + EXX = 10'hD9,// EXX ; D9 + JPsC = 10'hDA,// JP C ; DA XX XX + INsA_6N7 = 10'hDB,// IN A,(N) ; DB XX + CALLsC_NN = 10'hDC,// CALL C,NN ; DC XX XX + DDgrp = 10'hDD,// DDgrp + SBCsA_N = 10'hDE,// SBC A,N ; DE XX + RSTs18H = 10'hDF,// RST 18H ; DF + RETsPO = 10'hE0,// RET PO ; E0 + POPsHL = 10'hE1,// POP HL ; E1 + JPsPO = 10'hE2,// JP PO ; E2 XX XX + EXs6SP7_HL = 10'hE3,// EX (SP),HL ; E3 + CALLsPO_NN = 10'hE4,// CALL PO,NN ; E4 XX XX + PUSHsHL = 10'hE5,// PUSH HL ; E5 + ANDsN = 10'hE6,// AND N ; E6 XX + RSTs20H = 10'hE7,// RST 20H ; E7 + RETsPE = 10'hE8,// RET PE ; E8 + JPsHL = 10'hE9,// JP HL ; E9 // documented as indirect IS NOT + JPsPE = 10'hEA,// JP PE, ; EA XX XX + EXsDE_HL = 10'hEB,// EX DE,HL ; EB + CALLsPE_NN = 10'hEC,// CALL PE,NN ; EC XX XX + EDgrp = 10'hED,// EDgrp ED + XORsN = 10'hEE,// XOR N ; EE XX + RSTs28H = 10'hEF,// RST 28H ; EF + RETsP = 10'hF0,// RET P ; F0 + POPsAF = 10'hF1,// POP AF ; F1 + JPsP = 10'hF2,// JP P ; F2 XX XX + DI = 10'hF3,// DI ; F3 + CALLsP_NN = 10'hF4,// CALL P,NN ; F4 XX XX + PUSHsAF = 10'hF5,// PUSH AF ; F5 + ORsN = 10'hF6,// OR N ; F6 XX + RSTs30H = 10'hF7,// RST 30H ; F7 + RETsM = 10'hF8,// RET M ; F8 + LDsSP_HL = 10'hF9,// LD SP,HL ; F9 + JPsM = 10'hFA,// JP M, ; FA XX XX + EI = 10'hFB,// EI ; FB + CALLsM_NN = 10'hFC,// CALL M,NN ; FC XX XX + FDgrp = 10'hFD,// FDgrp FD + CPsN = 10'hFE,// CP N ; FE XX + RSTs38H = 10'hFF,// RST 38H ; FF + +// the CB set +// These have enough structure that I don't believe I will define a parameter for each +// First cut below + CB_RLC = 7'b01_00_000, // these must be compaired with ir[9:3] + CB_RRC = 7'b01_00_001, // these must be compaired with ir[9:3] + CB_RL = 7'b01_00_010, // these must be compaired with ir[9:3] + CB_RR = 7'b01_00_011, // these must be compaired with ir[9:3] + CB_SLA = 7'b01_00_100, // these must be compaired with ir[9:3] + CB_SRA = 7'b01_00_101, // these must be compaired with ir[9:3] + CB_SLL = 7'b01_00_110, // these must be compaired with ir[9:3] + CB_SRL = 7'b01_00_111, // these must be compaired with ir[9:3] + + CB_BIT = 4'b01_01, // these must be compaired with ir[9:6] + CB_RES = 4'b01_10, // these must be compaired with ir[9:6] + CB_SET = 4'b01_11, // these must be compaired with ir[9:6] + + CB_MEM = 3'b110, // this must be compaired with ir[2:0] + // note these are all read-modify-writ except CB_BIT + +// The ED Group +// These are the "unique instructions in the 46, 47 rows that NEED? to be implemented +// Not sure I want to worry about all undocumented stuff in these rows - hard to believe +// It will matter.(IM modes are very system dependent - hard to believe even a programmer +// would use undocumented instructions to muck with this stuff) + ED_IMs0 = 10'h246, // IM 0 ; ED 46 set IM0 + ED_LDsI_A = 10'h247, // LD I,A ; ED 47 move a to I + ED_IMs1 = 10'h256, // IM 1 ; ED 56 set IM1 + ED_LDsA_I = 10'h257, // LD A,I ; ED 57 move I to A + ED_IMs2 = 10'h25E, // IM 2 ; ED 5E set IM2 + ED_RRD = 10'h267, // RRD ; ED 67 nibble roates A HL + ED_RLD = 10'h26F, // RLD ; ED 6F nibble roates A HL + + + ED_LDI = 10'h2A0, // LDI ; ED A0 These are block move + ED_CPI = 10'h2A1, // CPI ; ED A1 type insts that don't repeat + ED_INI = 10'h2A2, // INI ; ED A2 + ED_OUTI = 10'h2A3, // OUTI ; ED A3 + ED_LDD = 10'h2A8, // LDD ; ED A8 + ED_CPD = 10'h2A9, // CPD ; ED A9 + ED_IND = 10'h2AA, // IND ; ED AA + ED_OUTD = 10'h2AB, // OUTD ; ED AB + ED_LDIR = 10'h2B0, // LDIR ; ED B0 These are block move + ED_CPIR = 10'h2B1, // CPIR ; ED B1 type insts that DO repeat + ED_INIR = 10'h2B2, // INIR ; ED B2 + ED_OTIR = 10'h2B3, // OTIR ; ED B3 + ED_LDDR = 10'h2B8, // LDDR ; ED B8 + ED_CPDR = 10'h2B9, // CPDR ; ED B9 + ED_INDR = 10'h2BA, // INDR ; ED BA + ED_OTDR = 10'h2BB, // OTDR ; ED BB + +// the ED gropu definitions from 40 to 7f from document on undocumented insts..... +// +// ED40 IN B,(C) ED50 IN D,(C) ED60 IN H,(C) ED70 IN (C) / IN F,(C) +// ED41 OUT (C),B ED51 OUT (C),D ED61 OUT (C),H ED71 OUT (C),0* +// ED42 SBC HL,BC ED52 SBC HL,DE ED62 SBC HL,HL ED72 SBC HL,SP +// ED43 LD (nn),BC ED53 LD (nn),DE ED63 LD (nn),HL ED73 LD (nn),SP +// ED44 NEG ED54 NEG* ED64 NEG* ED74 NEG* +// ED45 RETN ED55 RETN* ED65 RETN* ED75 RETN* +// ED46 IM 0 ED56 IM 1 ED66 IM 0* ED76 IM 1* +// ED47 LD I,A ED57 LD A,I ED67 RRD ED77 NOP* + +// ED48 IN C,(C) ED58 IN E,(C) ED68 IN L,(C) ED78 IN A,(C) +// ED49 OUT (C),C ED59 OUT (C),E ED69 OUT (C),L ED79 OUT (C),A +// ED4A ADC HL,BC ED5A ADC HL,DE ED6A ADC HL,HL ED7A ADC HL,SP +// ED4B LD BC,(nn) ED5B LD DE,(nn) ED6B LD HL,(nn) ED7B LD SP,(nn) +// ED4C NEG* ED5C NEG* ED6C NEG* ED7C NEG* +// ED4D RETI ED5D RETN* ED6D RETN* ED7D RETN* +// ED4E IM 0* ED5E IM 2 ED6E IM 0* ED7E IM 2* +// ED4F LD R,A ED5F LD A,R ED6F RLD ED7F NOP* + + +//The ED70 instruction reads from I/O port C, +//but does not store the result. +//It just affects the flags. Hard to test. like the other IN x,(C) instruction. +// +//ED71 simply outs the value 0 to I/O port C. +// This suggests that we should decode as follows: +// I hope if I don't get all the IM duplicates right it won't be a tragedy + ED_INsREG_6C7 = 7'b1001___000, // compair with {ir[9:6],ir[2:0]} + ED_OUTs6C7_REG = 7'b1001___001, // compair with {ir[9:6],ir[2:0]} + ED_SBCsHL_REG = 8'b1001__0010, // compair with {ir[9:6],ir[3:0]} + ED_ADCsHL_REG = 8'b1001__1010, // compair with {ir[9:6],ir[3:0]} + ED_LDs6NN7_REG = 8'b1001__0011, // compair with {ir[9:6],ir[3:0]} REG = BC,DE,HL,SP + ED_LDsREG_6NN7 = 8'b1001__1011, // compair with {ir[9:6],ir[3:0]} REG = BC,DE,HL,SP + ED_NEG = 7'b1001___100, // compair with {ir[9:6],ir[2:0]} all A<= -A + ED_RETN = 7'b1001___101, // compair with {ir[9:6],ir[2:0]} and !reti + + DBL_REG_BC = 2'b00, // compair with ir[5:4] + DBL_REG_DE = 2'b00, // compair with ir[5:4] + DBL_REG_HL = 2'b00, // compair with ir[5:4] + DBL_REG_SP = 2'b00, // compair with ir[5:4] + + REG8_B = 3'b000, + REG8_C = 3'b001, + REG8_D = 3'b010, + REG8_E = 3'b011, + REG8_H = 3'b100, + REG8_L = 3'b101, + REG8_MEM = 3'b110, + REG8_A = 3'b111; + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file Index: trunk/inc/work_updreg.v =================================================================== --- trunk/inc/work_updreg.v (nonexistent) +++ trunk/inc/work_updreg.v (revision 2) @@ -0,0 +1,525 @@ +/////////////////////////////////////////////////////////////////////////////////////////////////// +// // +// file name: work_updr.v // +// description: opcode parameters for z80 // +// project: wb_z80 // +// // +// Author: B.J. Porcella // +// e-mail: bporcella@sbcglobal.net // +// // +// // +// // +/////////////////////////////////////////////////////////////////////////////////////////////////// +// // +// Copyright (C) 2000-2002 B.J. Porcella // +// Real Time Solutions // +// // +// // +// This source file may be used and distributed without // +// restriction provided that this copyright statement is not // +// removed from the file and that any derivative work contains // +// the original copyright notice and the associated disclaimer. // +// // +// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY // +// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED // +// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS // +// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR // +// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, // +// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES // +// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE // +// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR // +// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF // +// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT // +// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT // +// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE // +// POSSIBILITY OF SUCH DAMAGE. // +// // +//-------1---------2---------3--------Comments on file -------------7---------8---------9--------0 +// +// not really a source file -- more of a work file -- as named. +// but I probably will keep it around. +// +// dice up all defined instructions for updating each register. +// +// +// +//-------1---------2---------3--------CVS Log -----------------------7---------8---------9--------0 +// +// $Id: work_updreg.v,v 1.1.1.1 2004-04-13 23:48:00 bporcella Exp $ +// +// $Date: 2004-04-13 23:48:00 $ +// $Revision: 1.1.1.1 $ +// $Author: bporcella $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: not supported by cvs2svn $ +// +// +//-------1---------2---------3--------Comments on file -------------7---------8---------9--------0 +// +NOP = 10'h00,// NOP ; 00 + +// update ar +RLCA = 10'h07,// RLCA ; 07 +EXsAF_AFp = 10'h08,// EX AF,AF' ; 08 +LDsA_6BC7 = 10'h0A,// LD A,(BC) ; 0A +RRCA = 10'h0F,// RRCA ; 0F +RLA = 10'h17,// RLA ; 17 +LDsA_6DE7 = 10'h1A,// LD A,(DE) ; 1A +RRA = 10'h1F,// RRA ; 1F +DAA = 10'h27,// DAA ; 27 +CPL = 10'h2F,// CPL ; 2F a <= ~a +LDsA_6NN7 = 10'h3A,// LD A,(NN) ; 3A XX XX +INCsA = 10'h3C,// INC A ; 3C +DECsA = 10'h3D,// DEC A ; 3D +LDsA_N = 10'h3E,// LD A,N ; 3E XX +LDsA_B = 10'h78,// LD A,B ; 78 +LDsA_C = 10'h79,// LD A,C ; 79 +LDsA_D = 10'h7A,// LD A,D ; 7A +LDsA_E = 10'h7B,// LD A,E ; 7B +LDsA_H = 10'h7C,// LD A,H ; 7C +LDsA_L = 10'h7D,// LD A,L ; 7D +LDsA_6HL7 = 10'h7E,// LD A,(HL) ; 7E +LDsA_A = 10'h7F,// LD A,A ; 7F +ADDsA_B = 10'h80,// ADD A,B ; 80 +ADDsA_C = 10'h81,// ADD A,C ; 81 +ADDsA_D = 10'h82,// ADD A,D ; 82 +ADDsA_E = 10'h83,// ADD A,E ; 83 +ADDsA_H = 10'h84,// ADD A,H ; 84 +ADDsA_L = 10'h85,// ADD A,L ; 85 +ADDsA_6HL7 = 10'h86,// ADD A,(HL) ; 86 +ADDsA_A = 10'h87,// ADD A,A ; 87 +ADCsA_B = 10'h88,// ADC A,B ; 88 +ADCsA_C = 10'h89,// ADC A,C ; 89 +ADCsA_D = 10'h8A,// ADC A,D ; 8A +ADCsA_E = 10'h8B,// ADC A,E ; 8B +ADCsA_H = 10'h8C,// ADC A,H ; 8C +ADCsA_L = 10'h8D,// ADC A,L ; 8D +ADCsA_6HL7 = 10'h8E,// ADC A,(HL) ; 8E +ADCsA_A = 10'h8F,// ADC A,A ; 8F +SUBsB = 10'h90,// SUB B ; 90 +SUBsC = 10'h91,// SUB C ; 91 +SUBsD = 10'h92,// SUB D ; 92 +SUBsE = 10'h93,// SUB E ; 93 +SUBsH = 10'h94,// SUB H ; 94 +SUBsL = 10'h95,// SUB L ; 95 +SUBs6HL7 = 10'h96,// SUB (HL) ; 96 +SUBsA = 10'h97,// SUB A ; 97 +SBCsB = 10'h98,// SBC B ; 98 +SBCsC = 10'h99,// SBC C ; 99 +SBCsD = 10'h9A,// SBC D ; 9A +SBCsE = 10'h9B,// SBC E ; 9B +SBCsH = 10'h9C,// SBC H ; 9C +SBCsL = 10'h9D,// SBC L ; 9D +SBCs6HL7 = 10'h9E,// SBC (HL) ; 9E +SBCsA = 10'h9F,// SBC A ; 9F +ANDsB = 10'hA0,// AND B ; A0 +ANDsC = 10'hA1,// AND C ; A1 +ANDsD = 10'hA2,// AND D ; A2 +ANDsE = 10'hA3,// AND E ; A3 +ANDsH = 10'hA4,// AND H ; A4 +ANDsL = 10'hA5,// AND L ; A5 +ANDs6HL7 = 10'hA6,// AND (HL) ; A6 +ANDsA = 10'hA7,// AND A ; A7 +XORsB = 10'hA8,// XOR B ; A8 +XORsC = 10'hA9,// XOR C ; A9 +XORsD = 10'hAA,// XOR D ; AA +XORsE = 10'hAB,// XOR E ; AB +XORsH = 10'hAC,// XOR H ; AC +XORsL = 10'hAD,// XOR L ; AD +XORs6HL7 = 10'hAE,// XOR (HL) ; AE +XORsA = 10'hAF,// XOR A ; AF +ORsB = 10'hB0,// OR B ; B0 +ORsC = 10'hB1,// OR C ; B1 +ORsD = 10'hB2,// OR D ; B2 +ORsE = 10'hB3,// OR E ; B3 +ORsH = 10'hB4,// OR H ; B4 +ORsL = 10'hB5,// OR L ; B5 +ORs6HL7 = 10'hB6,// OR (HL) ; B6 +ORsA = 10'hB7,// OR A ; B7 +ADDsA_N = 10'hC6,// ADD A,N ; C6 XX +ADCsA_N = 10'hCE,// ADC A,N ; CE XX +SUBsN = 10'hD6,// SUB N ; D6 XX +EXX = 10'hD9,// EXX ; D9 +INsA_6N7 = 10'hDB,// IN A,(N) ; DB XX +SBCsA_N = 10'hDE,// SBC A,N ; DE XX +ANDsN = 10'hE6,// AND N ; E6 XX +XORsN = 10'hEE,// XOR N ; EE XX +POPsAF = 10'hF1,// POP AF ; F1 +ORsN = 10'hF6,// OR N ; F6 XX +ED_NEG = 5'b01___100, // compair with {ir[7:6],ir[2:0]} all A<= -A + +// update br +LDsBC_nn = 10'h01,// LD BC,NN ; 01 XX XX +INCsBC = 10'h03,// INC BC ; 03 +INCsB = 10'h04,// INC B ; 04 +DECsB = 10'h05,// DEC B ; 05 +LDsB_N = 10'h06,// LD B,N ; 06 XX +DECsBC = 10'h0B,// DEC BC ; 0B +DJNZs$t2 = 10'h10,// DJNZ $+2 ; 10 XX //pre dec br +LDsB_B = 10'h40,// LD B,B ; 40 +LDsB_C = 10'h41,// LD B,C ; 41 +LDsB_D = 10'h42,// LD B,D ; 42 +LDsB_E = 10'h43,// LD B,E ; 43 +LDsB_H = 10'h44,// LD B,H ; 44 +LDsB_L = 10'h45,// LD B,L ; 45 +LDsB_6HL7 = 10'h46,// LD B,(HL) ; 46 +LDsB_A = 10'h47,// LD B,A ; 47 +POPsBC = 10'hC1,// POP BC ; C1 +EXX = 10'hD9,// EXX ; D9 +// update cr +LDsBC_nn = 10'h01,// LD BC,NN ; 01 XX XX +INCsBC = 10'h03,// INC BC ; 03 +DECsBC = 10'h0B,// DEC BC ; 0B +INCsC = 10'h0C,// INC C ; 0C +DECsC = 10'h0D,// DEC C ; 0D +LDsC_N = 10'h0E,// LD C,N ; 0E XX +LDsC_B = 10'h48,// LD C,B ; 48 +LDsC_C = 10'h49,// LD C,C ; 49 +LDsC_D = 10'h4A,// LD C,D ; 4A +LDsC_E = 10'h4B,// LD C,E ; 4B +LDsC_H = 10'h4C,// LD C,H ; 4C +LDsC_L = 10'h4D,// LD C,L ; 4D +LDsC_6HL7 = 10'h4E,// LD C,(HL) ; 4E +LDsC_A = 10'h4F,// LD C,A ; 4F +POPsBC = 10'hC1,// POP BC ; C1 +EXX = 10'hD9,// EXX ; D9 +// update dr +LDsDE_NN = 10'h11,// LD DE,NN ; 11 XX XX +INCsDE = 10'h13,// INC DE ; 13 +INCsD = 10'h14,// INC D ; 14 +DECsD = 10'h15,// DEC D ; 15 +LDsD_N = 10'h16,// LD D,N ; 16 XX +DECsDE = 10'h1B,// DEC DE ; 1B +LDsD_B = 10'h50,// LD D,B ; 50 +LDsD_C = 10'h51,// LD D,C ; 51 +LDsD_D = 10'h52,// LD D,D ; 52 +LDsD_E = 10'h53,// LD D,E ; 53 +LDsD_H = 10'h54,// LD D,H ; 54 +LDsD_L = 10'h55,// LD D,L ; 55 +LDsD_6HL7 = 10'h56,// LD D,(HL) ; 56 +LDsD_A = 10'h57,// LD D,A ; 57 +POPsDE = 10'hD1,// POP DE ; D1 +EXX = 10'hD9,// EXX ; D9 +EXsDE_HL = 10'hEB,// EX DE,HL ; EB +// update er +LDsDE_NN = 10'h11,// LD DE,NN ; 11 XX XX +INCsDE = 10'h13,// INC DE ; 13 +DECsDE = 10'h1B,// DEC DE ; 1B +INCsE = 10'h1C,// INC E ; 1C +DECsE = 10'h1D,// DEC E ; 1D +LDsE_N = 10'h1E,// LD E,N ; 1E XX +LDsE_B = 10'h58,// LD E,B ; 58 +LDsE_C = 10'h59,// LD E,C ; 59 +LDsE_D = 10'h5A,// LD E,D ; 5A +LDsE_E = 10'h5B,// LD E,E ; 5B +LDsE_H = 10'h5C,// LD E,H ; 5C +LDsE_L = 10'h5D,// LD E,L ; 5D +LDsE_6HL7 = 10'h5E,// LD E,(HL) ; 5E +LDsE_A = 10'h5F,// LD E,A ; 5F +POPsDE = 10'hD1,// POP DE ; D1 +EXX = 10'hD9,// EXX ; D9 +EXsDE_HL = 10'hEB,// EX DE,HL ; EB +// update hr +ADDsHL_BC = 10'h09,// ADD HL,BC ; 09 +ADDsHL_DE = 10'h19,// ADD HL,DE ; 19 +LDsHL_NN = 10'h21,// LD HL,NN ; 21 XX XX +INCsHL = 10'h23,// INC HL ; 23 +INCsH = 10'h24,// INC H ; 24 +DECsH = 10'h25,// DEC H ; 25 +LDsH_N = 10'h26,// LD H,N ; 26 XX +ADDsHL_HL = 10'h29,// ADD HL,HL ; 29 +LDsHL_6NN7 = 10'h2A,// LD HL,(NN) ; 2A XX XX +DECsHL = 10'h2B,// DEC HL ; 2B +ADDsHL_SP = 10'h39,// ADD HL,SP ; 39 +LDsH_B = 10'h60,// LD H,B ; 60 +LDsH_C = 10'h61,// LD H,C ; 61 +LDsH_D = 10'h62,// LD H,D ; 62 +LDsH_E = 10'h63,// LD H,E ; 63 +LDsH_H = 10'h64,// LD H,H ; 64 +LDsH_L = 10'h65,// LD H,L ; 65 +LDsH_6HL7 = 10'h66,// LD H,(HL) ; 66 +LDsH_A = 10'h67,// LD H,A ; 67 +EXX = 10'hD9,// EXX ; D9 +POPsHL = 10'hE1,// POP HL ; E1 +EXs6SP7_HL = 10'hE3,// EX (SP),HL ; E3 +EXsDE_HL = 10'hEB,// EX DE,HL ; EB +// update lr +ADDsHL_BC = 10'h09,// ADD HL,BC ; 09 +ADDsHL_DE = 10'h19,// ADD HL,DE ; 19 +LDsHL_NN = 10'h21,// LD HL,NN ; 21 XX XX +INCsHL = 10'h23,// INC HL ; 23 +ADDsHL_HL = 10'h29,// ADD HL,HL ; 29 +LDsHL_6NN7 = 10'h2A,// LD HL,(NN) ; 2A XX XX +DECsHL = 10'h2B,// DEC HL ; 2B +INCsL = 10'h2C,// INC L ; 2C +DECsL = 10'h2D,// DEC L ; 2D +LDsL_N = 10'h2E,// LD L,N ; 2E XX +ADDsHL_SP = 10'h39,// ADD HL,SP ; 39 +LDsL_B = 10'h68,// LD L,B ; 68 +LDsL_C = 10'h69,// LD L,C ; 69 +LDsL_D = 10'h6A,// LD L,D ; 6A +LDsL_E = 10'h6B,// LD L,E ; 6B +LDsL_H = 10'h6C,// LD L,H ; 6C +LDsL_L = 10'h6D,// LD L,L ; 6D +LDsL_6HL7 = 10'h6E,// LD L,(HL) ; 6E +LDsL_A = 10'h6F,// LD L,A ; 6F +EXX = 10'hD9,// EXX ; D9 +POPsHL = 10'hE1,// POP HL ; E1 +EXs6SP7_HL = 10'hE3,// EX (SP),HL ; E3 +EXsDE_HL = 10'hEB,// EX DE,HL ; EB +// update ixr +// update iyr +// update fr +SCF = 10'h37,// SCF ; 37 +EXsAF_AFp = 10'h08,// EX AF,AF' ; 08 +CCF = 10'h3F,// CCF ; 3F +CPsB = 10'hB8,// CP B ; B8 +CPsC = 10'hB9,// CP C ; B9 +CPsD = 10'hBA,// CP D ; BA +CPsE = 10'hBB,// CP E ; BB +CPsH = 10'hBC,// CP H ; BC +CPsL = 10'hBD,// CP L ; BD +CPs6HL7 = 10'hBE,// CP (HL) ; BE +CPsA = 10'hBF,// CP A ; BF +CPsN = 10'hFE,// CP N ; FE XX +EXX = 10'hD9,// EXX ; D9 +POPsAF = 10'hF1,// POP AF ; F1 + +// update shadow register +EXsAF_AFp = 10'h08,// EX AF,AF' ; 08 +EXX = 10'hD9,// EXX ; D9 + +// stuff to worry about +LDsSP_NN = 10'h31,// LD SP,NN ; 31 XX XX +INCsSP = 10'h33,// INC SP ; 33 +DECsSP = 10'h3B,// DEC SP ; 3B + + +// memory stors and others +LDs6DE7_A = 10'h12,// LD (DE),A ; 12 +LDs6BC7_A = 10'h02,// LD (BC),A ; 02 +JRs$t2 = 10'h18,// JR $+2 ; 18 XX +JRsNZ_$t2 = 10'h20,// JR NZ,$+2 ; 20 +LDs6NN7_HL = 10'h22,// LD (NN),HL ; 22 XX XX +JRsZ_$t2 = 10'h28,// JR Z,$+2 ; 28 XX +JRsNC_$t2 = 10'h30,// JR NC,$+2 ; 30 XX +LDs6NN7_A = 10'h32,// LD (NN),A ; 32 XX XX +INCs6HL7 = 10'h34,// INC (HL) ; 34 +DECs6HL7 = 10'h35,// DEC (HL) ; 35 +LDs6HL7_N = 10'h36,// LD (HL),N ; 36 XX +JRsC_$t2 = 10'h38,// JR C,$+2 ; 38 XX +LDs6HL7_B = 10'h70,// LD (HL),B ; 70 +LDs6HL7_C = 10'h71,// LD (HL),C ; 71 +LDs6HL7_D = 10'h72,// LD (HL),D ; 72 +LDs6HL7_E = 10'h73,// LD (HL),E ; 73 +LDs6HL7_H = 10'h74,// LD (HL),H ; 74 +LDs6HL7_L = 10'h75,// LD (HL),L ; 75 +HALT = 10'h76,// HALT ; 76 +LDs6HL7_A = 10'h77,// LD (HL),A ; 77 +RETsNZ = 10'hC0,// RET NZ ; C0 +JPsNZ = 10'hC2,// JP NZ ; C2 XX XX +JP = 10'hC3,// JP ; C3 XX XX +CALLsNZ_NN = 10'hC4,// CALL NZ,NN ; C4 XX XX +PUSHsBC = 10'hC5,// PUSH BC ; C5 +RSTs0 = 10'hC7,// RST 0 ; C7 +RETsZ = 10'hC8,// RET Z ; C8 +RET = 10'hC9,// RET ; C9 +JPsZ = 10'hCA,// JP Z ; CA XX XX +CALLsZ_NN = 10'hCC,// CALL Z,NN ; CC XX XX +CBgrp = 10'hCB,// CBgrp is rotates and bit munging below +CALLsNN = 10'hCD,// CALL NN ; CD XX XX +RSTs8H = 10'hCF,// RST 8H ; CF +RETsNC = 10'hD0,// RET NC ; D0 +JPsNC = 10'hD2,// JP NC, ; D2 XX XX +OUTs6N7_A = 10'hD3,// OUT (N),A ; D3 XX +CALLsNC_NN = 10'hD4,// CALL NC,NN ; D4 XX XX +PUSHsDE = 10'hD5,// PUSH DE ; D5 +RSTs10H = 10'hD7,// RST 10H ; D7 +RETsC = 10'hD8,// RET C ; D8 +JPsC = 10'hDA,// JP C ; DA XX XX +CALLsC_NN = 10'hDC,// CALL C,NN ; DC XX XX +DDgrp = 10'hDD,// DDgrp +RSTs18H = 10'hDF,// RST 18H ; DF +RETsPO = 10'hE0,// RET PO ; E0 // ret if positive +JPsPO = 10'hE2,// JP PO ; E2 XX XX +EXs6SP7_HL = 10'hE3,// EX (SP),HL ; E3 +CALLsPO_NN = 10'hE4,// CALL PO,NN ; E4 XX XX +PUSHsHL = 10'hE5,// PUSH HL ; E5 +RSTs20H = 10'hE7,// RST 20H ; E7 +RETsPE = 10'hE8,// RET PE ; E8 +JPsHL = 10'hE9,// JP HL ; E9 // documented as indirect IS NOT +JPsPE = 10'hEA,// JP PE, ; EA XX XX +CALLsPE_NN = 10'hEC,// CALL PE,NN ; EC XX XX +EDgrp = 10'hED,// EDgrp ED + +RSTs28H = 10'hEF,// RST 28H ; EF +RETsP = 10'hF0,// RET P ; F0 +JPsP = 10'hF2,// JP P ; F2 XX XX +DI = 10'hF3,// DI ; F3 +CALLsP_NN = 10'hF4,// CALL P,NN ; F4 XX XX +PUSHsAF = 10'hF5,// PUSH AF ; F5 +RSTs30H = 10'hF7,// RST 30H ; F7 +RETsM = 10'hF8,// RET M ; F8 +LDsSP_HL = 10'hF9,// LD SP,HL ; F9 +JPsM = 10'hFA,// JP M, ; FA XX XX +EI = 10'hFB,// EI ; FB +CALLsM_NN = 10'hFC,// CALL M,NN ; FC XX XX +FDgrp = 10'hFD,// FDgrp FD +RSTs38H = 10'hFF,// RST 38H ; FF + +// the CB set +// These have enough structure that I don't believe I will define a parameter for each +// First cut below +// put together a decode term here for all registers + +CB_RLC = 7'b01_00_000, // these must be compaired with ir[9:3] +CB_RRC = 7'b01_00_001, // these must be compaired with ir[9:3] +CB_RL = 7'b01_00_010, // these must be compaired with ir[9:3] +CB_RR = 7'b01_00_011, // these must be compaired with ir[9:3] +CB_SLA = 7'b01_00_100, // these must be compaired with ir[9:3] +CB_SRA = 7'b01_00_101, // these must be compaired with ir[9:3] +CB_SLL = 7'b01_00_110, // these must be compaired with ir[9:3] +CB_SRL = 7'b01_00_111, // these must be compaired with ir[9:3] + +CB_BIT = 4'b01_01, // these must be compaired with ir[9:6] +CB_RES = 4'b01_10, // these must be compaired with ir[9:6] +CB_SET = 4'b01_11, // these must be compaired with ir[9:6] + +CB_MEM = 3'h110, // this must be compaired with ir[2:0] + // note these are all read-modify-writ except CB_BIT + +// The ED Group +// These are the "unique instructions in the 46, 47 rows that NEED? to be implemented +// Not sure I want to worry about all undocumented stuff in these rows - hard to believe +// It will matter.(IM modes are very system dependent - hard to believe even a programmer +// would use undocumented instructions to muck with this stuff) + ED_IMs0 = 10'h246// IM 0 ; ED 46 set IM0 + ED_LDsI_A = 10'h247// LD I,A ; ED 47 move a to I + ED_IMs1 = 10'h256// IM 1 ; ED 56 set IM1 + ED_LDsA_I = 10'h257// LD A,I ; ED 57 move I to A + ED_IMs2 = 10'h25E// IM 2 ; ED 5E set IM2 + ED_RRD = 10'h267// RRD ; ED 67 nibble roates A HL + ED_RLD = 10'h26F// RLD ; ED 6F nibble roates A HL + + + ED_LDI = 10'h2A0// LDI ; ED A0 These are block move + ED_CPI = 10'h2A1// CPI ; ED A1 type insts that don't repeat + ED_INI = 10'h2A2// INI ; ED A2 + ED_OUTI = 10'h2A3// OUTI ; ED A3 + ED_LDD = 10'h2A8// LDD ; ED A8 + ED_CPD = 10'h2A9// CPD ; ED A9 + ED_IND = 10'h2AA// IND ; ED AA + ED_OUTD = 10'h2AB// OUTD ; ED AB + ED_LDIR = 10'h2B0// LDIR ; ED B0 These are block move + ED_CPIR = 10'h2B1// CPIR ; ED B1 type insts that DO repeat + ED_INIR = 10'h2B2// INIR ; ED B2 + ED_OTIR = 10'h2B3// OTIR ; ED B3 + ED_LDDR = 10'h2B8// LDDR ; ED B8 + ED_CPDR = 10'h2B9// CPDR ; ED B9 + ED_INDR = 10'h2BA// INDR ; ED BA + ED_OTDR = 10'h2BB// OTDR ; ED BB + +// the ED gropu definitions from 40 to 7f from document on undocumented insts..... +// +// ED40 IN B,(C) ED50 IN D,(C) ED60 IN H,(C) ED70 IN (C) / IN F,(C) +// ED41 OUT (C),B ED51 OUT (C),D ED61 OUT (C),H ED71 OUT (C),0* +// ED42 SBC HL,BC ED52 SBC HL,DE ED62 SBC HL,HL ED72 SBC HL,SP +// ED43 LD (nn),BC ED53 LD (nn),DE ED63 LD (nn),HL ED73 LD (nn),SP +// ED44 NEG ED54 NEG* ED64 NEG* ED74 NEG* +// ED45 RETN ED55 RETN* ED65 RETN* ED75 RETN* +// ED46 IM 0 ED56 IM 1 ED66 IM 0* ED76 IM 1* +// ED47 LD I,A ED57 LD A,I ED67 RRD ED77 NOP* + +// ED48 IN C,(C) ED58 IN E,(C) ED68 IN L,(C) ED78 IN A,(C) +// ED49 OUT (C),C ED59 OUT (C),E ED69 OUT (C),L ED79 OUT (C),A +// ED4A ADC HL,BC ED5A ADC HL,DE ED6A ADC HL,HL ED7A ADC HL,SP +// ED4B LD BC,(nn) ED5B LD DE,(nn) ED6B LD HL,(nn) ED7B LD SP,(nn) +// ED4C NEG* ED5C NEG* ED6C NEG* ED7C NEG* +// ED4D RETI ED5D RETN* ED6D RETN* ED7D RETN* +// ED4E IM 0* ED5E IM 2 ED6E IM 0* ED7E IM 2* +// ED4F LD R,A ED5F LD A,R ED6F RLD ED7F NOP* + + +//The ED70 instruction reads from I/O port C, +//but does not store the result. +//It just affects the flags. Hard to test. like the other IN x,(C) instruction. +// +//ED71 simply outs the value 0 to I/O port C. +// This suggests that we should decode as follows: +// I hope if I don't get all the IM duplicates right it won't be a tragedy + ED_INsREG_6C7 = 5'b01___000,// compair with {ir[7:6],ir[2:0]} + + ED_SBCsHL_REG = 6'b01__0010, // compair with {ir[9:6],ir[3:0]} + ED_ADCsHL_REG = 6'b01__1010, // compair with {ir[9:6],ir[3:0]} + ED_LDs6NN7_REG = 6'b01__0011, // compair with {ir[9:6],ir[3:0]} REG = BC,DE,HL,SP + ED_LDsREG_6NN7 = 6'b01__1011, // compair with {ir[9:6],ir[3:0]} REG = BC,DE,HL,SP + ED_NEG = 5'b01___100, // compair with {ir[9:6],ir[2:0]} all A<= -A + ED_RETN = 5'b01___101, // compair with {ir[9:6],ir[2:0]} and !reti + + DBL_REG_BC = 2'b00, // compair with ir[5:4] + DBL_REG_DE = 2'b00, // compair with ir[5:4] + DBL_REG_HL = 2'b00, // compair with ir[5:4] + DBL_REG_SP = 2'b00, // compair with ir[5:4] + + REG8_B = 3'b000, + REG8_C = 3'b001, + REG8_D = 3'b010, + REG8_E = 3'b011, + REG8_H = 3'b100, + REG8_L = 3'b101, + REG8_A = 3'b110, + REG8_A = 3'b111; + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file Index: trunk/work.tws =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/work.tws =================================================================== --- trunk/work.tws (nonexistent) +++ trunk/work.tws (revision 2)
trunk/work.tws Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.