OpenCores
URL https://opencores.org/ocsvn/light8080/light8080/trunk

Subversion Repositories light8080

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 10 to Rev 11
    Reverse comparison

Rev 10 → Rev 11

/trunk/vhdl/demo/rs232_rx.vhdl
1,7 → 1,7
--##############################################################################
-- RS-232 receiver, hardwired to 9600 bauds when clocked at 50MHz.
-- WARNING: Hacked up for light8080 demo. Poor performance, no formal testing!
-- I don't advise using this in for any general purpose.
-- I don't advise using this for any purpose.
--##############################################################################
 
library IEEE;
/trunk/vhdl/demo/rs232_tx.vhdl
1,7 → 1,7
--##############################################################################
-- RS-232 transmitter, hardwired to 9600 bauds when clocked at 50MHz.
-- WARNING: Hacked up for light8080 demo. Poor performance, no formal testing!
-- I don't advise using this in for any general purpose.
-- I don't advise using this for any purpose.
--##############################################################################
 
 
22,7 → 22,6
 
architecture demo of rs232_tx is
 
 
signal counter : integer;
 
signal data : std_logic_vector(10 downto 0);
/trunk/vhdl/demo/c2sb_light8080_demo.vhd
5,6 → 5,9
-- Runs IMSAI SCS1 monitor on serial port, using 4KB of internal RAM.
-- Documentation for the monitor and Altera Quartus pin assignment files are
-- included.
--
-- All that's really needed to run the demo is the serial interface (2 pins),
-- so this should be easy to adapt to any other dev board.
--#############################################################################
 
library IEEE;
768,7 → 771,7
-- FLASH
--##############################################################################
 
 
-- Flash is unused
flash_addr <= "000000000000" & switches;
flash_we <= '1';
flash_oe <= '1';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.