OpenCores
URL https://opencores.org/ocsvn/gamepads/gamepads/trunk

Subversion Repositories gamepads

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 9 to Rev 10
    Reverse comparison

Rev 9 → Rev 10

/tags/rel_0_1_beta/snespad/snespad.png Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
tags/rel_0_1_beta/snespad/snespad.png Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: tags/rel_0_1_beta/snespad/COMPILE_LIST =================================================================== --- tags/rel_0_1_beta/snespad/COMPILE_LIST (nonexistent) +++ tags/rel_0_1_beta/snespad/COMPILE_LIST (revision 10) @@ -0,0 +1,15 @@ + +Compile list for the SNESpad core +================================= +Version: $Date: 2004-10-06 16:43:55 $ + +rtl/vhdl/snespad_comp-pack.vhd +rtl/vhdl/snespad_pack-p.vhd +rtl/vhdl/snespad_ctrl.vhd +rtl/vhdl/snespad_pad.vhd +rtl/vhdl/snespad.vhd +bench/vhdl/tb.vhd +rtl/vhdl/snespad_ctrl-c.vhd +rtl/vhdl/snespad_pad-c.vhd +rtl/vhdl/snespad-c.vhd +bench/vhdl/tb-c.vhd Index: tags/rel_0_1_beta/snespad/README =================================================================== --- tags/rel_0_1_beta/snespad/README (nonexistent) +++ tags/rel_0_1_beta/snespad/README (revision 10) @@ -0,0 +1,128 @@ + +README for the SNESpad core +=========================== +Version: $Date: 2004-10-06 16:42:15 $ + + +Description +----------- + +The SNESpad core manages one or more gamepads of the Super Nintendo +Entertainment System in parallel. The button information is provided +statically at a simple interface with dedicated signal lines. +The core has to be configured to fit into the integrating system. Details +about this are given in the section "Integration" below. + + +Integration +----------- + +The interface of the SNESpad core is straight forward. It requires: + + * a clock signal which is evaluated on the rising edge by the internal + registers an asynchronous reset (active level is configurable) + * connections to the gamepad(s) + +The button outputs should be self-describing. + +Configuration of the core is done via generics in the instantiation. There are +four generic parameters: + + * num_pads_g - Number of pads connected to this controller instance (1 to n) + * reset_level_g - Active level of the asynchronous reset at port reset_i + (0 = low active, 1 = high active) + * button_level_g - Active level of the button outputs + (0 = low active, 1 = high active) + * clocks_per_6us_g - Number of clk_i cycles that elapse during 6 us (2 to x) + +Button outputs and pad data input are arrays of num_pads_g width. The +assignment is 1:1. i.e. the pad connected to pad_data_i(i) will propagate its +button status to but_a_o(i), but_b_o(i) etc. where i ranges from 0 to n-1. + +The communication to the SNES gamepad relies on a timebase of approximately +6 us. It is therefore necessary to adjust the counters inside the core via the +clock_per_6us_g generic parameter. Let's assume an example where the system +clock is running at 20 MHz. There are 20 clock cycles during 1 us, so the +generic has to be set to 6 x 20 = 120. + + +Adapter Hardware +---------------- + +The required hardware setup is pretty simple if you reuse the connector of a +SNES console. It is quite robust and offers all connections centrally on the +bottom side of the PCB at the pins for the cable socket. In addition, you will +need an external 5V power source. Such a configuration is shown in the +following picture. + +Pin B1 is the common Data Latch signal for Pad 1 and Pad 2. The pins B2 and T2 +are the Data Clock for Pad 1 and Pad 2, respectively. They have to be +connected together to pad_clock_i as the core clocks both pads +simultaneously. Pin B3 is the Serial Data of Pad 1 and Pin B4 is the Serial +Data of Pad 2. Each data line requires a 10 kOhm pull-up resistor. + +See snespad.png + + +Verification +------------ + +The SNESpad core comes with a simple testbench that simulates two SNES +gamepads. Serial information is sent to the core and the reported button +states are compared against the input. +You should normally not need to run the testbench. But in case you modified +the VHDL code the testbench gives some hints if the design has been broken. + + +Directory Structure +------------------- + +The core's directory structure follows the proposal of OpenCores.org. + +snespad + | + \--+-- rtl + | | + | \-- vhdl : VHDL code containing the RTL description + | of the core. + | + +-- bench + | | + | \-- vhdl : VHDL testbench code. + | + \-- sim + | + \-- rtl_sim : Directory for running simulations. + + +Compiling the VHDL Code +----------------------- + +VHDL compilation and simulation tasks take place inside in sim/rtl_sim +directory. The project setup supports only the GHDL simulator (see +http://ghdl.free.fr). + +To compile the code simply type at the shell + +$ make + +This should result in a file called tb_behav_c0 which can be executed as any +other executable. + +The basic simple sequence list can be found in COMPILE_LIST. This can be +useful to quickly set up the analyze stage of any compiler or +synthesizer. Especially when synthesizing the code, you want to skip the VHDL +configurations in *-c.vhd and everything below the bench/ directory. + + +References +---------- + + * Gamepads project at OpenCores.org + http://www.opencores.org/projects.cgi/web/gamepads/overview + + * The Hardware Book + http://www.hardwarebook.net/connector/userinput/snescontroller.html + + * Linux gamecon driver + http://lxr.linux.no/source/drivers/char/joystick/gamecon.c?v=2.4.26 Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad.vhd (nonexistent) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad.vhd (revision 10) @@ -0,0 +1,196 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad.vhd,v 1.2 2004-10-05 18:22:40 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad is + + generic ( + -- number of pads connected to this core + num_pads_g : natural := 1; + -- active level of reset_i + reset_level_g : natural := 0; + -- active level of the button outputs + button_level_g : natural := 0; + -- number of clk_i periods during 6us + clocks_per_6us_g : natural := 6 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Gamepad Interface ------------------------------------------------------ + pad_clk_o : out std_logic; + pad_latch_o : out std_logic; + pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); + -- Buttons Interface ------------------------------------------------------ + but_a_o : out std_logic_vector(num_pads_g-1 downto 0); + but_b_o : out std_logic_vector(num_pads_g-1 downto 0); + but_x_o : out std_logic_vector(num_pads_g-1 downto 0); + but_y_o : out std_logic_vector(num_pads_g-1 downto 0); + but_start_o : out std_logic_vector(num_pads_g-1 downto 0); + but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); + but_up_o : out std_logic_vector(num_pads_g-1 downto 0); + but_down_o : out std_logic_vector(num_pads_g-1 downto 0); + but_left_o : out std_logic_vector(num_pads_g-1 downto 0); + but_right_o : out std_logic_vector(num_pads_g-1 downto 0) + ); + +end snespad; + + +architecture struct of snespad is + + component snespad_ctrl + generic ( + reset_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_o : out boolean; + shift_buttons_o : out boolean; + save_buttons_o : out boolean; + pad_clk_o : out std_logic; + pad_latch_o : out std_logic + ); + end component snespad_ctrl; + + component snespad_pad + generic ( + reset_level_g : natural := 0; + button_level_g : natural := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_i : in boolean; + shift_buttons_i : in boolean; + save_buttons_i : in boolean; + pad_data_i : in std_logic; + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_start_o : out std_logic; + but_sel_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic + ); + end component snespad_pad; + + + signal clk_en_s : boolean; + signal shift_buttons_s : boolean; + signal save_buttons_s : boolean; + +begin + + ctrl_b : snespad_ctrl + generic map ( + reset_level_g => reset_level_g, + clocks_per_6us_g => clocks_per_6us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + clk_en_o => clk_en_s, + shift_buttons_o => shift_buttons_s, + save_buttons_o => save_buttons_s, + pad_clk_o => pad_clk_o, + pad_latch_o => pad_latch_o + ); + + + pads: for i in 0 to num_pads_g-1 generate + pad_b : snespad_pad + generic map ( + reset_level_g => reset_level_g, + button_level_g => button_level_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + clk_en_i => clk_en_s, + shift_buttons_i => shift_buttons_s, + save_buttons_i => save_buttons_s, + pad_data_i => pad_data_i(i), + but_a_o => but_a_o(i), + but_b_o => but_b_o(i), + but_x_o => but_x_o(i), + but_y_o => but_y_o(i), + but_start_o => but_start_o(i), + but_sel_o => but_sel_o(i), + but_tl_o => but_tl_o(i), + but_tr_o => but_tr_o(i), + but_up_o => but_up_o(i), + but_down_o => but_down_o(i), + but_left_o => but_left_o(i), + but_right_o => but_right_o(i) + ); + end generate; + +end struct; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/05 17:01:27 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd (nonexistent) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd (revision 10) @@ -0,0 +1,44 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_comp-pack.vhd,v 1.1 2004-10-05 18:20:14 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package snespad_comp is + + component snespad + generic ( + num_pads_g : natural := 1; + reset_level_g : natural := 0; + button_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_clk_o : out std_logic; + pad_latch_o : out std_logic; + pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); + but_a_o : out std_logic_vector(num_pads_g-1 downto 0); + but_b_o : out std_logic_vector(num_pads_g-1 downto 0); + but_x_o : out std_logic_vector(num_pads_g-1 downto 0); + but_y_o : out std_logic_vector(num_pads_g-1 downto 0); + but_start_o : out std_logic_vector(num_pads_g-1 downto 0); + but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); + but_up_o : out std_logic_vector(num_pads_g-1 downto 0); + but_down_o : out std_logic_vector(num_pads_g-1 downto 0); + but_left_o : out std_logic_vector(num_pads_g-1 downto 0); + but_right_o : out std_logic_vector(num_pads_g-1 downto 0) + ); + end component snespad; + +end snespad_comp; Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd (nonexistent) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd (revision 10) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_ctrl-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_ctrl_rtl_c0 of snespad_ctrl is + + for rtl + end for; + +end snespad_ctrl_rtl_c0; Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl.vhd (nonexistent) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl.vhd (revision 10) @@ -0,0 +1,219 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad_ctrl.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad_ctrl is + + generic ( + reset_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_o : out boolean; + -- Control Interface ------------------------------------------------------ + shift_buttons_o : out boolean; + save_buttons_o : out boolean; + -- Pad Interface ---------------------------------------------------------- + pad_clk_o : out std_logic; + pad_latch_o : out std_logic + ); + +end snespad_ctrl; + + +use work.snespad_pack.all; + +architecture rtl of snespad_ctrl is + + subtype clocks_per_6us_t is natural range 0 to clocks_per_6us_g; + + type state_t is (IDLE, + IDLE2, + LATCH, + CLOCK, + READ_PAD); + + signal pad_latch_q, + pad_latch_s : std_logic; + + signal pad_clk_q, + pad_clk_s : std_logic; + + signal num_buttons_read_q : num_buttons_read_t; + signal clocks_per_6us_q : clocks_per_6us_t; + + signal state_q, + state_s : state_t; + + signal clk_en_s : boolean; + signal shift_buttons_s : boolean; + +begin + + -- pragma translate_off + ----------------------------------------------------------------------------- + -- Check generics + ----------------------------------------------------------------------------- + assert (reset_level_g = 0) or (reset_level_g = 1) + report "reset_level_g must be either 0 or 1!" + severity failure; + + assert clocks_per_6us_g > 1 + report "clocks_per_6us_g must be at least 2!" + severity failure; + -- pragma translate_on + + + seq: process (reset_i, clk_i) + variable clocks_per_6us_overflow_v : boolean; + begin + if reset_i = reset_level_g then + pad_latch_q <= '1'; + pad_clk_q <= '1'; + + num_buttons_read_q <= num_buttons_c-1; + + clocks_per_6us_q <= 0; + + state_q <= IDLE; + + elsif clk_i'event and clk_i = '1' then + if clk_en_s then + clocks_per_6us_q <= 0; + else + clocks_per_6us_q <= clocks_per_6us_q + 1; + end if; + + if clk_en_s and shift_buttons_s then + if num_buttons_read_q = 0 then + num_buttons_read_q <= num_buttons_c-1; + else + num_buttons_read_q <= num_buttons_read_q - 1; + end if; + end if; + + if clk_en_s then + state_q <= state_s; + end if; + + pad_clk_q <= pad_clk_s; + + pad_latch_q <= pad_latch_s; + + end if; + end process; + + clk_en_s <= clocks_per_6us_q = clocks_per_6us_g-1; + + + fsm: process (state_q, + num_buttons_read_q) + begin + -- default assignments + pad_clk_s <= '1'; + pad_latch_s <= '1'; + shift_buttons_s <= false; + save_buttons_o <= false; + state_s <= IDLE; + + case state_q is + when IDLE => + save_buttons_o <= true; + state_s <= IDLE2; + + when IDLE2 => + state_s <= LATCH; + + when LATCH => + pad_latch_s <= '0'; + state_s <= READ_PAD; + + when READ_PAD => + pad_latch_s <= '0'; + -- set clock low + -- pad data will be read at end of 6us cycle + pad_clk_s <= '0'; + + shift_buttons_s <= true; + + if num_buttons_read_q = 0 then + -- return to IDLE after last button bit has been read + state_s <= IDLE; + else + state_s <= LATCH; + end if; + + when others => + null; + + end case; + + end process fsm; + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + clk_en_o <= clk_en_s; + shift_buttons_o <= shift_buttons_s; + pad_clk_o <= pad_clk_q; + pad_latch_o <= pad_latch_q; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +------------------------------------------------------------------------------- Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad-c.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad-c.vhd (nonexistent) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad-c.vhd (revision 10) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_pad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_pad_rtl_c0 of snespad_pad is + + for rtl + end for; + +end snespad_pad_rtl_c0; Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad.vhd (nonexistent) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad.vhd (revision 10) @@ -0,0 +1,154 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad_pad.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad_pad is + + generic ( + reset_level_g : natural := 0; + button_level_g : natural := 0 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_i : in boolean; + -- Control Interface ------------------------------------------------------ + shift_buttons_i : in boolean; + save_buttons_i : in boolean; + -- Pad Interface ---------------------------------------------------------- + pad_data_i : in std_logic; + -- Buttons Interface ------------------------------------------------------ + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_start_o : out std_logic; + but_sel_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic + ); + +end snespad_pad; + + +use work.snespad_pack.all; + +architecture rtl of snespad_pad is + + signal buttons_q, + shift_buttons_q : buttons_t; + +begin + + -- pragma translate_off + ----------------------------------------------------------------------------- + -- Check generics + ----------------------------------------------------------------------------- + assert (reset_level_g = 0) or (reset_level_g = 1) + report "reset_level_g must be either 0 or 1!" + severity failure; + + assert (button_level_g = 0) or (button_level_g = 1) + report "button_level_g must be either 0 or 1!" + severity failure; + -- pragma translate_on + + seq: process (reset_i, clk_i) + variable mult_6us_overflow_v : boolean; + begin + if reset_i = reset_level_g then + for i in buttons_t'range loop + buttons_q(i) <= button_reset_f(button_level_g); + shift_buttons_q(i) <= button_reset_f(button_level_g); + end loop; + + elsif clk_i'event and clk_i = '1' then + if save_buttons_i then + buttons_q <= shift_buttons_q; + end if; + + if clk_en_i and shift_buttons_i then + shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); + shift_buttons_q(0) <= button_active_f(pad_data_i, button_level_g); + end if; + + end if; + end process; + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + but_a_o <= buttons_q(but_pos_a_c); + but_b_o <= buttons_q(but_pos_b_c); + but_x_o <= buttons_q(but_pos_x_c); + but_y_o <= buttons_q(but_pos_y_c); + but_start_o <= buttons_q(but_pos_start_c); + but_sel_o <= buttons_q(but_pos_sel_c); + but_tl_o <= buttons_q(but_pos_tl_c); + but_tr_o <= buttons_q(but_pos_tr_c); + but_up_o <= buttons_q(but_pos_up_c); + but_down_o <= buttons_q(but_pos_down_c); + but_left_o <= buttons_q(but_pos_left_c); + but_right_o <= buttons_q(but_pos_right_c); + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +------------------------------------------------------------------------------- Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pack-p.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pack-p.vhd (nonexistent) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pack-p.vhd (revision 10) @@ -0,0 +1,94 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_pack-p.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package snespad_pack is + + constant num_buttons_c : natural := 12; + subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); + subtype num_buttons_read_t is natural range 0 to num_buttons_c-1; + + function button_active_f(state : in std_logic; ref : in natural) return std_logic; + function button_reset_f(ref : in natural) return std_logic; + function "=" (a : std_logic; b : integer) return boolean; + + ----------------------------------------------------------------------------- + -- The button positions inside the SNES packet + ----------------------------------------------------------------------------- + constant but_pos_b_c : natural := 11; + constant but_pos_y_c : natural := 10; + constant but_pos_sel_c : natural := 9; + constant but_pos_start_c : natural := 8; + constant but_pos_up_c : natural := 7; + constant but_pos_down_c : natural := 6; + constant but_pos_left_c : natural := 5; + constant but_pos_right_c : natural := 4; + constant but_pos_a_c : natural := 3; + constant but_pos_x_c : natural := 2; + constant but_pos_tl_c : natural := 1; + constant but_pos_tr_c : natural := 0; + +end snespad_pack; + + +package body snespad_pack is + + function button_active_f(state : in std_logic; ref : in natural) return std_logic is + variable result_v : std_logic; + begin + if ref = 0 then + result_v := state; + else + result_v := not state; + end if; + + return result_v; + end button_active_f; + + function button_reset_f(ref : in natural) return std_logic is + variable result_v : std_logic; + begin + if ref = 0 then + result_v := '1'; + else + result_v := '0'; + end if; + + return result_v; + end button_reset_f; + + function "=" (a : std_logic; b : integer) return boolean is + variable result_v : boolean; + begin + result_v := false; + + case a is + when '0' => + if b = 0 then + result_v := true; + end if; + + when '1' => + if b = 1 then + result_v := true; + end if; + + when others => + null; + + end case; + + return result_v; + end; + + +end snespad_pack; Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad-c.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad-c.vhd (nonexistent) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad-c.vhd (revision 10) @@ -0,0 +1,25 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_struct_c0 of snespad is + + for struct + for ctrl_b : snespad_ctrl + use configuration work.snespad_ctrl_rtl_c0; + end for; + + for pads + for pad_b : snespad_pad + use configuration work.snespad_pad_rtl_c0; + end for; + end for; + end for; + +end snespad_struct_c0; Index: tags/rel_0_1_beta/snespad/bench/vhdl/tb.vhd =================================================================== --- tags/rel_0_1_beta/snespad/bench/vhdl/tb.vhd (nonexistent) +++ tags/rel_0_1_beta/snespad/bench/vhdl/tb.vhd (revision 10) @@ -0,0 +1,225 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- SNESpad controller core +-- +-- $Id: tb.vhd,v 1.2 2004-10-05 18:19:08 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity tb is + +end tb; + + +use work.snespad_pack.all; +use work.snespad_comp.snespad; + +architecture behav of tb is + + constant period_c : time := 100 ns; + constant num_pads_c : natural := 2; + constant reset_level_c : natural := 0; + constant button_level_c : natural := 0; + + + signal clk_s : std_logic; + signal reset_s : std_logic; + + signal pad_clk_s : std_logic; + signal pad_latch_s : std_logic; + signal pad_data_s : std_logic_vector(num_pads_c-1 downto 0); + + type buttons_t is array (11 downto 0) of std_logic_vector(num_pads_c-1 downto 0); + signal buttons_s : buttons_t; + + signal buttons0_s, + buttons1_s : std_logic_vector(11 downto 0); + + +begin + + dut : snespad + generic map ( + num_pads_g => 2, + reset_level_g => reset_level_c, + button_level_g => button_level_c, + clocks_per_6us_g => 60 + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + pad_clk_o => pad_clk_s, + pad_latch_o => pad_latch_s, + pad_data_i => pad_data_s, + but_a_o => buttons_s(but_pos_a_c), + but_b_o => buttons_s(but_pos_b_c), + but_x_o => buttons_s(but_pos_x_c), + but_y_o => buttons_s(but_pos_y_c), + but_start_o => buttons_s(but_pos_start_c), + but_sel_o => buttons_s(but_pos_sel_c), + but_tl_o => buttons_s(but_pos_tl_c), + but_tr_o => buttons_s(but_pos_tr_c), + but_up_o => buttons_s(but_pos_up_c), + but_down_o => buttons_s(but_pos_down_c), + but_left_o => buttons_s(but_pos_left_c), + but_right_o => buttons_s(but_pos_right_c) + ); + + buttons: process (buttons_s) + begin + for i in 0 to 11 loop + buttons0_s(i) <= buttons_s(i)(0); + buttons1_s(i) <= buttons_s(i)(1); + end loop; + end process buttons; + + ----------------------------------------------------------------------------- + -- DUT Stimuli + ----------------------------------------------------------------------------- + stimuli: process + + procedure dispatch(pad : in natural; + packet : in std_logic_vector(11 downto 0)) is + begin + + wait until pad_latch_s = '0'; + for i in 11 downto 0 loop + wait until pad_clk_s = '0'; + pad_data_s(pad) <= packet(i); + wait until pad_clk_s = '1'; + end loop; + + wait for period_c; + + assert pad_latch_s = '1' + report "Latch not deasserted!" + severity error; + + wait for period_c; + for i in 11 downto 0 loop + assert button_active_f(buttons_s(i)(pad), button_level_c) = packet(i) + report "Mismatch for received vs. sent buttons!" + severity error; + end loop; + + end dispatch; + + begin + pad_data_s <= (others => '1'); + + wait until reset_s = '1'; + wait for period_c * 4; + + for pad in 0 to 1 loop + dispatch(pad, packet => "000000000000"); + dispatch(pad, packet => "111111111111"); + dispatch(pad, packet => "010101010101"); + dispatch(pad, packet => "101010101010"); + dispatch(pad, packet => "100000000000"); + dispatch(pad, packet => "010000000000"); + dispatch(pad, packet => "001000000000"); + dispatch(pad, packet => "000100000000"); + dispatch(pad, packet => "000010000000"); + dispatch(pad, packet => "000001000000"); + dispatch(pad, packet => "000000100000"); + dispatch(pad, packet => "000000010000"); + dispatch(pad, packet => "000000001000"); + dispatch(pad, packet => "000000000100"); + dispatch(pad, packet => "000000000010"); + dispatch(pad, packet => "000000000001"); + end loop; + + + wait for period_c * 4; + assert false + report "End of simulation reached." + severity failure; + + end process stimuli; + + + ----------------------------------------------------------------------------- + -- Clock Generator + ----------------------------------------------------------------------------- + clk: process + begin + clk_s <= '0'; + wait for period_c / 2; + clk_s <= '1'; + wait for period_c / 2; + end process clk; + + + ----------------------------------------------------------------------------- + -- Reset Generator + ----------------------------------------------------------------------------- + reset: process + begin + if reset_level_c = 0 then + reset_s <= '0'; + else + reset_s <= '1'; + end if; + + wait for period_c * 4 + 10 ns; + + reset_s <= not reset_s; + + wait; + end process reset; + +end behav; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/05 17:05:31 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: tags/rel_0_1_beta/snespad/bench/vhdl/tb-c.vhd =================================================================== --- tags/rel_0_1_beta/snespad/bench/vhdl/tb-c.vhd (nonexistent) +++ tags/rel_0_1_beta/snespad/bench/vhdl/tb-c.vhd (revision 10) @@ -0,0 +1,20 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: tb-c.vhd,v 1.1 2004-10-05 17:05:31 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_behav_c0 of tb is + + for behav + for dut : snespad + use configuration work.snespad_struct_c0; + end for; + end for; + +end tb_behav_c0; Index: tags/rel_0_1_beta/snespad/sim/rtl_sim/Makefile =================================================================== --- tags/rel_0_1_beta/snespad/sim/rtl_sim/Makefile (nonexistent) +++ tags/rel_0_1_beta/snespad/sim/rtl_sim/Makefile (revision 10) @@ -0,0 +1,82 @@ +############################################################################## +# +# Tool-specific Makefile for the GHDL compiler. +# +# $Id: Makefile,v 1.2 2004-10-05 18:18:23 arniml Exp $ +# +# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +# +# All rights reserved +# +############################################################################## + + +PROJECT_DIR = ../.. +RTL_DIR = $(PROJECT_DIR)/rtl/vhdl +BENCH_DIR = $(PROJECT_DIR)/bench/vhdl + + + +ANALYZE=ghdl -a --std=87 --workdir=work +ELABORATE=ghdl -e --std=87 --workdir=work + +.PHONY: all +all: work elaborate + +work: + mkdir work + +work/snespad_pack-p.o: $(RTL_DIR)/snespad_pack-p.vhd + $(ANALYZE) $(RTL_DIR)/snespad_pack-p.vhd + +work/snespad_ctrl.o: $(RTL_DIR)/snespad_ctrl.vhd \ + work/snespad_pack-p.o + $(ANALYZE) $(RTL_DIR)/snespad_ctrl.vhd +work/snespad_ctrl-c.o: $(RTL_DIR)/snespad_ctrl-c.vhd \ + work/snespad_ctrl.o + $(ANALYZE) $(RTL_DIR)/snespad_ctrl-c.vhd + +work/snespad_pad.o: $(RTL_DIR)/snespad_pad.vhd \ + work/snespad_pack-p.o + $(ANALYZE) $(RTL_DIR)/snespad_pad.vhd +work/snespad_pad-c.o: $(RTL_DIR)/snespad_pad-c.vhd \ + work/snespad_pad.o + $(ANALYZE) $(RTL_DIR)/snespad_pad-c.vhd + +work/snespad.o: $(RTL_DIR)/snespad.vhd \ + work/snespad_pack-p.o \ + work/snespad_ctrl.o \ + work/snespad_pad.o + $(ANALYZE) $(RTL_DIR)/snespad.vhd +work/snespad-c.o: $(RTL_DIR)/snespad-c.vhd \ + work/snespad.o \ + work/snespad_ctrl-c.o \ + work/snespad_pad-c.o + $(ANALYZE) $(RTL_DIR)/snespad-c.vhd + +work/snespad_comp-pack.o: $(RTL_DIR)/snespad_comp-pack.vhd + $(ANALYZE) $(RTL_DIR)/snespad_comp-pack.vhd + +work/tb.o: $(BENCH_DIR)/tb.vhd \ + work/snespad_comp-pack.o \ + work/snespad.o + $(ANALYZE) $(BENCH_DIR)/tb.vhd +work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ + work/tb.o \ + work/snespad-c.o + $(ANALYZE) $(BENCH_DIR)/tb-c.vhd + + +.PHONY: elaborate +elaborate: tb_behav_c0 + +tb_behav_c0: analyze + $(ELABORATE) tb_behav_c0; \ + strip tb_behav_c0 + +.PHONY: analyze +analyze: work/tb-c.o + +.PHONY: clean +clean: + rm -rf work tb_behav_c0 *~ Index: tags/rel_0_1_beta/COPYING =================================================================== --- tags/rel_0_1_beta/COPYING (nonexistent) +++ tags/rel_0_1_beta/COPYING (revision 10) @@ -0,0 +1,340 @@ + GNU GENERAL PUBLIC LICENSE + Version 2, June 1991 + + Copyright (C) 1989, 1991 Free Software Foundation, Inc. + 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The licenses for most software are designed to take away your +freedom to share and change it. By contrast, the GNU General Public +License is intended to guarantee your freedom to share and change free +software--to make sure the software is free for all its users. This +General Public License applies to most of the Free Software +Foundation's software and to any other program whose authors commit to +using it. (Some other Free Software Foundation software is covered by +the GNU Library General Public License instead.) You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +this service if you wish), that you receive source code or can get it +if you want it, that you can change the software or use pieces of it +in new free programs; and that you know you can do these things. + + To protect your rights, we need to make restrictions that forbid +anyone to deny you these rights or to ask you to surrender the rights. +These restrictions translate to certain responsibilities for you if you +distribute copies of the software, or if you modify it. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must give the recipients all the rights that +you have. You must make sure that they, too, receive or can get the +source code. And you must show them these terms so they know their +rights. + + We protect your rights with two steps: (1) copyright the software, and +(2) offer you this license which gives you legal permission to copy, +distribute and/or modify the software. + + Also, for each author's protection and ours, we want to make certain +that everyone understands that there is no warranty for this free +software. If the software is modified by someone else and passed on, we +want its recipients to know that what they have is not the original, so +that any problems introduced by others will not reflect on the original +authors' reputations. + + Finally, any free program is threatened constantly by software +patents. We wish to avoid the danger that redistributors of a free +program will individually obtain patent licenses, in effect making the +program proprietary. To prevent this, we have made it clear that any +patent must be licensed for everyone's free use or not licensed at all. + + The precise terms and conditions for copying, distribution and +modification follow. + + GNU GENERAL PUBLIC LICENSE + TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION + + 0. This License applies to any program or other work which contains +a notice placed by the copyright holder saying it may be distributed +under the terms of this General Public License. The "Program", below, +refers to any such program or work, and a "work based on the Program" +means either the Program or any derivative work under copyright law: +that is to say, a work containing the Program or a portion of it, +either verbatim or with modifications and/or translated into another +language. (Hereinafter, translation is included without limitation in +the term "modification".) Each licensee is addressed as "you". + +Activities other than copying, distribution and modification are not +covered by this License; they are outside its scope. The act of +running the Program is not restricted, and the output from the Program +is covered only if its contents constitute a work based on the +Program (independent of having been made by running the Program). +Whether that is true depends on what the Program does. + + 1. You may copy and distribute verbatim copies of the Program's +source code as you receive it, in any medium, provided that you +conspicuously and appropriately publish on each copy an appropriate +copyright notice and disclaimer of warranty; keep intact all the +notices that refer to this License and to the absence of any warranty; +and give any other recipients of the Program a copy of this License +along with the Program. + +You may charge a fee for the physical act of transferring a copy, and +you may at your option offer warranty protection in exchange for a fee. + + 2. You may modify your copy or copies of the Program or any portion +of it, thus forming a work based on the Program, and copy and +distribute such modifications or work under the terms of Section 1 +above, provided that you also meet all of these conditions: + + a) You must cause the modified files to carry prominent notices + stating that you changed the files and the date of any change. + + b) You must cause any work that you distribute or publish, that in + whole or in part contains or is derived from the Program or any + part thereof, to be licensed as a whole at no charge to all third + parties under the terms of this License. + + c) If the modified program normally reads commands interactively + when run, you must cause it, when started running for such + interactive use in the most ordinary way, to print or display an + announcement including an appropriate copyright notice and a + notice that there is no warranty (or else, saying that you provide + a warranty) and that users may redistribute the program under + these conditions, and telling the user how to view a copy of this + License. (Exception: if the Program itself is interactive but + does not normally print such an announcement, your work based on + the Program is not required to print an announcement.) + +These requirements apply to the modified work as a whole. If +identifiable sections of that work are not derived from the Program, +and can be reasonably considered independent and separate works in +themselves, then this License, and its terms, do not apply to those +sections when you distribute them as separate works. But when you +distribute the same sections as part of a whole which is a work based +on the Program, the distribution of the whole must be on the terms of +this License, whose permissions for other licensees extend to the +entire whole, and thus to each and every part regardless of who wrote it. + +Thus, it is not the intent of this section to claim rights or contest +your rights to work written entirely by you; rather, the intent is to +exercise the right to control the distribution of derivative or +collective works based on the Program. + +In addition, mere aggregation of another work not based on the Program +with the Program (or with a work based on the Program) on a volume of +a storage or distribution medium does not bring the other work under +the scope of this License. + + 3. You may copy and distribute the Program (or a work based on it, +under Section 2) in object code or executable form under the terms of +Sections 1 and 2 above provided that you also do one of the following: + + a) Accompany it with the complete corresponding machine-readable + source code, which must be distributed under the terms of Sections + 1 and 2 above on a medium customarily used for software interchange; or, + + b) Accompany it with a written offer, valid for at least three + years, to give any third party, for a charge no more than your + cost of physically performing source distribution, a complete + machine-readable copy of the corresponding source code, to be + distributed under the terms of Sections 1 and 2 above on a medium + customarily used for software interchange; or, + + c) Accompany it with the information you received as to the offer + to distribute corresponding source code. (This alternative is + allowed only for noncommercial distribution and only if you + received the program in object code or executable form with such + an offer, in accord with Subsection b above.) + +The source code for a work means the preferred form of the work for +making modifications to it. For an executable work, complete source +code means all the source code for all modules it contains, plus any +associated interface definition files, plus the scripts used to +control compilation and installation of the executable. However, as a +special exception, the source code distributed need not include +anything that is normally distributed (in either source or binary +form) with the major components (compiler, kernel, and so on) of the +operating system on which the executable runs, unless that component +itself accompanies the executable. + +If distribution of executable or object code is made by offering +access to copy from a designated place, then offering equivalent +access to copy the source code from the same place counts as +distribution of the source code, even though third parties are not +compelled to copy the source along with the object code. + + 4. You may not copy, modify, sublicense, or distribute the Program +except as expressly provided under this License. Any attempt +otherwise to copy, modify, sublicense or distribute the Program is +void, and will automatically terminate your rights under this License. +However, parties who have received copies, or rights, from you under +this License will not have their licenses terminated so long as such +parties remain in full compliance. + + 5. You are not required to accept this License, since you have not +signed it. However, nothing else grants you permission to modify or +distribute the Program or its derivative works. These actions are +prohibited by law if you do not accept this License. Therefore, by +modifying or distributing the Program (or any work based on the +Program), you indicate your acceptance of this License to do so, and +all its terms and conditions for copying, distributing or modifying +the Program or works based on it. + + 6. Each time you redistribute the Program (or any work based on the +Program), the recipient automatically receives a license from the +original licensor to copy, distribute or modify the Program subject to +these terms and conditions. You may not impose any further +restrictions on the recipients' exercise of the rights granted herein. +You are not responsible for enforcing compliance by third parties to +this License. + + 7. If, as a consequence of a court judgment or allegation of patent +infringement or for any other reason (not limited to patent issues), +conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot +distribute so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you +may not distribute the Program at all. For example, if a patent +license would not permit royalty-free redistribution of the Program by +all those who receive copies directly or indirectly through you, then +the only way you could satisfy both it and this License would be to +refrain entirely from distribution of the Program. + +If any portion of this section is held invalid or unenforceable under +any particular circumstance, the balance of the section is intended to +apply and the section as a whole is intended to apply in other +circumstances. + +It is not the purpose of this section to induce you to infringe any +patents or other property right claims or to contest validity of any +such claims; this section has the sole purpose of protecting the +integrity of the free software distribution system, which is +implemented by public license practices. Many people have made +generous contributions to the wide range of software distributed +through that system in reliance on consistent application of that +system; it is up to the author/donor to decide if he or she is willing +to distribute software through any other system and a licensee cannot +impose that choice. + +This section is intended to make thoroughly clear what is believed to +be a consequence of the rest of this License. + + 8. If the distribution and/or use of the Program is restricted in +certain countries either by patents or by copyrighted interfaces, the +original copyright holder who places the Program under this License +may add an explicit geographical distribution limitation excluding +those countries, so that distribution is permitted only in or among +countries not thus excluded. In such case, this License incorporates +the limitation as if written in the body of this License. + + 9. The Free Software Foundation may publish revised and/or new versions +of the General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + +Each version is given a distinguishing version number. If the Program +specifies a version number of this License which applies to it and "any +later version", you have the option of following the terms and conditions +either of that version or of any later version published by the Free +Software Foundation. If the Program does not specify a version number of +this License, you may choose any version ever published by the Free Software +Foundation. + + 10. If you wish to incorporate parts of the Program into other free +programs whose distribution conditions are different, write to the author +to ask for permission. For software which is copyrighted by the Free +Software Foundation, write to the Free Software Foundation; we sometimes +make exceptions for this. Our decision will be guided by the two goals +of preserving the free status of all derivatives of our free software and +of promoting the sharing and reuse of software generally. + + NO WARRANTY + + 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY +FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN +OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES +PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED +OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF +MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS +TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE +PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, +REPAIR OR CORRECTION. + + 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR +REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, +INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING +OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED +TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY +YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER +PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE +POSSIBILITY OF SUCH DAMAGES. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +convey the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) 19yy + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + + +Also add information on how to contact you by electronic and paper mail. + +If the program is interactive, make it output a short notice like this +when it starts in an interactive mode: + + Gnomovision version 69, Copyright (C) 19yy name of author + Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, the commands you use may +be called something other than `show w' and `show c'; they could even be +mouse-clicks or menu items--whatever suits your program. + +You should also get your employer (if you work as a programmer) or your +school, if any, to sign a "copyright disclaimer" for the program, if +necessary. Here is a sample; alter the names: + + Yoyodyne, Inc., hereby disclaims all copyright interest in the program + `Gnomovision' (which makes passes at compilers) written by James Hacker. + + , 1 April 1989 + Ty Coon, President of Vice + +This General Public License does not permit incorporating your program into +proprietary programs. If your program is a subroutine library, you may +consider it more useful to permit linking proprietary applications with the +library. If this is what you want to do, use the GNU Library General +Public License instead of this License.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.