OpenCores
URL https://opencores.org/ocsvn/steppermotordrive/steppermotordrive/trunk

Subversion Repositories steppermotordrive

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 9 to Rev 10
    Reverse comparison

Rev 9 → Rev 10

/branches/franksdevel/StepperMotorDrive.ucf
1,23 → 1,15
NET clock LOC = P181;
NET quada LOC = P8;
NET quadb LOC = P9;
NET quada PULLUP;
NET quadb PULLUP;
NET clock PERIOD = 40; # 40ns = 25MHz
NET stepdrive<0> LOC = P25;
NET stepdrive<1> LOC = P26;
NET stepdrive<2> LOC = P27;
NET stepdrive<3> LOC = P28;
NET extra1<0> LOC=P55;
NET extra1<1> LOC=P56;
NET extra1<2> LOC=P57;
NET extra1<3> LOC=P58;
NET extra1<4> LOC=P59;
NET extra1<5> LOC=P60;
NET extra1<6> LOC=P61;
NET extra1<7> LOC=P62;
NET extra1<8> LOC=P64;
NET extra1<9> LOC=P65;
NET extra1<10> LOC=P66;
NET extra1<11> LOC=P67;
NET extra1<12> LOC=P68;
NET extra1<13> LOC=P69;
NET Direction LOC = P29;
NET Direction PULLUP;
NET StepEnable LOC = P31;
NET StepEnable PULLUP;
# NET ProvideStaticHolding LOC = P32;
# NET ProvideStaticHolding PULLUP;
 
 
 
/branches/franksdevel/StepperMotorDrive.vhd
25,11 → 25,12
-- use of the "static holding" input port will specify this behavior.
 
entity StepperMotorPorts is
Port ( StepDrive : out std_logic_vector(3 downto 0);
clock : in std_logic;
Direction : in std_logic;
StepEnable : in std_logic;
ProvideStaticHolding : in std_logic
Port (
StepDrive : out std_logic_vector(3 downto 0);
clock : in std_logic;
Direction : in std_logic;
StepEnable : in std_logic --;
--ProvideStaticHolding : in std_logic
);
end StepperMotorPorts;
 
38,7 → 39,6
signal state : std_logic_vector(1 downto 0);
signal StepCounter : std_logic_vector(31 downto 0);
constant StepLockOut : std_logic_vector(31 downto 0) := "00000000000000110000110101000000";
 
begin
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.