OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 1190 to Rev 1191
    Reverse comparison

Rev 1190 → Rev 1191

/trunk/orp/orp_soc/rtl/verilog/xsv_fpga_defines.v
45,6 → 45,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.2 2002/03/29 20:58:51 lampret
// Changed hardcoded address for fake MC to use a define.
//
// Revision 1.1.1.1 2002/03/21 16:55:44 lampret
// First import of the "new" XESS XSV environment.
//
82,3 → 85,10
`define APP_ADDR_RES1 `APP_ADDR_DEC_W'h9e
`define APP_ADDR_RES2 `APP_ADDR_DEC_W'h9f
`define APP_ADDR_FAKEMC 4'h6
 
//
// Remove comments if you don't want that the OPENRISC fetches
// the first Instructions from the Flash memory
// (i.e.: you want to load program with GDB)
//
//`define NO_FLASH_INSTRUCION_ADDR

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.