URL
https://opencores.org/ocsvn/ha1588/ha1588/trunk
Subversion Repositories ha1588
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 12 to Rev 13
- ↔ Reverse comparison
Rev 12 → Rev 13
/ha1588/trunk/sim/tsu/wave.do
1,64 → 1,65
onerror {resume} |
quietly WaveActivateNextPane {} 0 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_clk |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_ctrl |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/gmii_data |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_req |
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/rtc_time_stamp |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack_clr |
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/gmii_time_stamp |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_gmii_ctrl |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_gmii_data |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_bcnt |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/int_cnt |
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv4_cnt |
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv6_cnt |
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_udp_cnt |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/int_valid_d1 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/int_sop_d1 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/int_eop_d1 |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/int_mod_d1 |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/int_data_d1 |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_vlan |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv4 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv6 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_udp |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l2 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l4 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -divider {New Divider} |
TreeUpdate [SetDefaultTree] |
WaveRestoreCursors {{Cursor 1} {341698 ps} 0} |
configure wave -namecolwidth 188 |
configure wave -valuecolwidth 165 |
configure wave -justifyvalue left |
configure wave -signalnamewidth 0 |
configure wave -snapdistance 10 |
configure wave -datasetprefix 0 |
configure wave -rowmargin 4 |
configure wave -childrowmargin 2 |
configure wave -gridoffset 0 |
configure wave -gridperiod 1 |
configure wave -griddelta 40 |
configure wave -timeline 0 |
configure wave -timelineunits ns |
update |
WaveRestoreZoom {177489 ps} {821067 ps} |
onerror {resume} |
quietly WaveActivateNextPane {} 0 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_clk |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_ctrl |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/gmii_data |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_req |
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/rtc_time_stamp |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack_clr |
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/gmii_time_stamp |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_gmii_ctrl |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_gmii_data |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_bcnt |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/int_cnt |
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv4_cnt |
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv6_cnt |
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_udp_cnt |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/int_valid_d1 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/int_sop_d1 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/int_eop_d1 |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/int_mod_d1 |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/int_data_d1 |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_vlan |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv4 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv6 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_udp |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l2 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l4 |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found |
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor |
add wave -noupdate -divider {New Divider} |
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/BFM_RX/num_rx |
add wave -noupdate -divider {New Divider} |
TreeUpdate [SetDefaultTree] |
WaveRestoreCursors {{Cursor 1} {341698 ps} 0} |
configure wave -namecolwidth 188 |
configure wave -valuecolwidth 165 |
configure wave -justifyvalue left |
configure wave -signalnamewidth 0 |
configure wave -snapdistance 10 |
configure wave -datasetprefix 0 |
configure wave -rowmargin 4 |
configure wave -childrowmargin 2 |
configure wave -gridoffset 0 |
configure wave -gridperiod 1 |
configure wave -griddelta 40 |
configure wave -timeline 0 |
configure wave -timelineunits ns |
update |
WaveRestoreZoom {0 ps} {247010400 ps} |
/ha1588/trunk/sim/tsu/gmii_rx_bfm.v
16,7 → 16,7
|
integer feeder_file_rx, r_rx; |
integer start_addr_rx, end_addr_rx; |
integer index_rx; |
integer index_rx, num_rx; |
reg eof_rx; |
reg pcap_endian_rx; |
reg [31:0] pcap_4bytes_rx; |
24,7 → 24,7
reg [ 7:0] packet_byte_rx; |
initial |
begin : feeder_rx |
gmii_rxctrl = 1'b0; |
gmii_rxctrl = 1'b0; |
gmii_rxdata = 4'd0; |
#100; |
feeder_file_rx = $fopen("ptpdv2_rx.pcap","rb"); |
43,6 → 43,7
$fseek(feeder_file_rx, 24, 1); |
// read packet content |
eof_rx = 0; |
num_rx = 0; |
while (!eof_rx & !$feof(feeder_file_rx)) |
begin : fileread_loop |
// skip frame header (8+4)*8 |
69,7 → 70,7
gmii_rxctrl = 1'b0; |
gmii_rxdata = 8'h00; |
end |
// send frame pre-amble 555555d5=4*8 |
// send frame preamble and sfd 5555555d=4*8 |
repeat (3) |
begin |
@(posedge gmii_rxclk_offset); |
78,7 → 79,7
end |
@(posedge gmii_rxclk_offset) |
gmii_rxctrl = 1'b1; |
gmii_rxdata = 8'hd5; |
gmii_rxdata = 8'h5d; |
// send frame content |
for (index_rx=0; index_rx<packet_leng_rx; index_rx=index_rx+1) |
begin |
97,12 → 98,12
end |
end |
end_addr_rx = $ftell(feeder_file_rx); |
num_rx = num_rx + 1; |
end |
$fclose(feeder_file_rx); |
gmii_rxctrl = 1'b0; |
gmii_rxdata = 8'h00; |
end |
#100 $stop; |
end |
|
|
/ha1588/trunk/sim/tsu/gmii_tx_bfm.v
16,7 → 16,7
|
integer feeder_file_tx, r_tx; |
integer start_addr_tx, end_addr_tx; |
integer index_tx; |
integer index_tx, num_tx; |
reg eof_tx; |
reg pcap_endian_tx; |
reg [31:0] pcap_4bytes_tx; |
43,6 → 43,7
$fseek(feeder_file_tx, 24, 1); |
// read packet content |
eof_tx = 0; |
num_tx = 0; |
while (!eof_tx & !$feof(feeder_file_tx)) |
begin : fileread_loop |
// skip frame header (8+4)*8 |
69,7 → 70,7
gmii_txctrl = 1'b0; |
gmii_txdata = 8'h00; |
end |
// send frame pre-amble 555555d5=4*8 |
// send frame preamble and sfd 5555555d=4*8 |
repeat (3) |
begin |
@(posedge gmii_txclk_offset); |
78,7 → 79,7
end |
@(posedge gmii_txclk_offset) |
gmii_txctrl = 1'b1; |
gmii_txdata = 8'hd5; |
gmii_txdata = 8'h5d; |
// send frame content |
for (index_tx=0; index_tx<packet_leng_tx; index_tx=index_tx+1) |
begin |
97,12 → 98,12
end |
end |
end_addr_tx = $ftell(feeder_file_tx); |
num_tx = num_tx + 1; |
end |
$fclose(feeder_file_tx); |
gmii_txctrl = 1'b0; |
gmii_txdata = 8'h00; |
end |
#100 $stop; |
end |
|
|
/ha1588/trunk/sim/tsu/tsu_queue_tb.v
19,11 → 19,17
initial begin |
// emulate the hardware behavior when power-up |
DUT_RX.ts_ack = 1'b0; |
//DUT_TX.ts_ack = 1'b0; |
DUT_TX.ts_ack = 1'b0; |
|
rst = 1'b0; |
#10 rst = 1'b1; |
#20 rst = 1'b0; |
|
fork |
@(posedge BFM_RX.eof_rx); |
@(posedge BFM_TX.eof_tx); |
join |
#100 $stop; |
end |
|
initial begin |
66,7 → 72,7
.gmii_rxdata(gmii_rxdata) |
); |
|
/* |
|
tsu_queue DUT_TX |
( |
.rst(rst), |
91,7 → 97,7
.gmii_txctrl(gmii_txctrl), |
.gmii_txdata(gmii_txdata) |
); |
*/ |
|
|
endmodule |
|
/ha1588/trunk/sim/tsu/sim.do
1,6 → 1,11
quit -sim |
|
vlib altera |
vdel -lib altera -all |
vlib work |
vdel -lib work -all |
|
vlib altera |
vlog -work altera altera_mf.v |
|
vlib work |
/ha1588/trunk/sim/tsu/ptpdv2_rx.pcap
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream