OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 126 to Rev 127
    Reverse comparison

Rev 126 → Rev 127

/trunk/rtl/verilog/oc8051_wb_iinterface.v
44,6 → 44,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.3 2003/04/03 19:19:02 simont
// change adr_i and adr_o length.
//
// Revision 1.2 2003/01/13 14:14:41 simont
// replace some modules
//
95,20 → 98,20
// internal bufers and wires
//
reg [15:0] adr;
reg stb;
//reg stb;
 
assign ack_o = ack_i;
assign dat_o = dat_i;
assign stb_o = stb || ack_i;
assign cyc_o = stb;
assign stb_o = stb_i || ack_i;
assign cyc_o = stb_i || ack_i;
assign adr_o = ack_i ? adr : adr_i;
 
always @(posedge clk or posedge rst)
if (rst) begin
stb <= #1 1'b0;
// stb <= #1 1'b0;
adr <= #1 16'h0000;
end else begin
stb <= #1 stb_i;
// stb <= #1 stb_i;
adr <= #1 adr_i;
end
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.