OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 128 to Rev 129
    Reverse comparison

Rev 128 → Rev 129

/trunk/vhdl/mem_ctrl.vhd
90,7 → 90,7
end if;
end process;
 
GEN_REGS2: process(clk, address_data, write_next_sig, byte_sel_next_sig)
GEN_REGS2: process(clk, address_data, write_next_sig, byte_sel_next_sig, reset_in)
begin
if reset_in = '1' then
if ACCURATE_TIMING then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.