OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 129 to Rev 130
    Reverse comparison

Rev 129 → Rev 130

/ion/trunk/src/common/startup.s
49,7 → 49,7
addiu $5, $5, 4
 
# Move data section image from flash to RAM, if necessary
# (assume if program does not run from BRAM, it runs from FASH)
# (assume if program does not run from BRAM, it runs from FLASH)
.ifndef RUN_FROM_BRAM
jal copy_data_sections
nop

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.