OpenCores
URL https://opencores.org/ocsvn/lcd_block/lcd_block/trunk

Subversion Repositories lcd_block

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 13 to Rev 14
    Reverse comparison

Rev 13 → Rev 14

/lcd_block/trunk/hdl/iseProject/ipcore_dir/coreVIO.xco
1,7 → 1,7
##############################################################
#
# Xilinx Core Generator version 13.4
# Date: Tue May 22 23:21:52 2012
# Date: Thu May 24 16:40:57 2012
#
##############################################################
#
40,20 → 40,20
# END Select
# BEGIN Parameters
CSET asynchronous_input_port_width=8
CSET asynchronous_output_port_width=19
CSET asynchronous_output_port_width=8
CSET component_name=coreVIO
CSET constraint_type=external
CSET enable_asynchronous_input_port=false
CSET enable_asynchronous_output_port=true
CSET enable_asynchronous_output_port=false
CSET enable_synchronous_input_port=false
CSET enable_synchronous_output_port=false
CSET enable_synchronous_output_port=true
CSET example_design=true
CSET invert_clock_input=false
CSET invert_clock_input=true
CSET synchronous_input_port_width=8
CSET synchronous_output_port_width=8
CSET synchronous_output_port_width=19
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-01-07T09:20:13Z
# END Extra information
GENERATE
# CRC: 8c8e6067
# CRC: d7309c64
/lcd_block/trunk/hdl/iseProject/_xmsgs/pn_parser.xmsgs
8,5 → 8,8
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
 
<messages>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;E:/lcd_block/hdl/iseProject/top_hw_testbench.v&quot; into library work</arg>
</msg>
 
</messages>
 
/lcd_block/trunk/hdl/iseProject/top_hw_testbench.v
1,6 → 1,9
`timescale 1ns / 1ps
/*
Top module that will instantiate and connect our DUT (lcd_controller) the ICON, VIO , ILA cores
For more information refer to this tutorial (Search in docs if link is broken)
http://www.stanford.edu/~phartke/chipscope_tutorial.pdf
http://www.stanford.edu/class/ee183/handouts.shtml
*/
module top_hw_testbench(
input clk,
58,8 → 61,10
coreVIO VIO_inst
(
.CONTROL(control1), // INOUT BUS [35:0]
.ASYNC_OUT(async_out)
.CONTROL(control1), // INOUT BUS [35:0]
.CLK(clk),
.SYNC_OUT(async_out)
//.ASYNC_OUT(async_out)
); // IN BUS [18:0]
assign trig_0 = lcd_e;
/lcd_block/trunk/hdl/iseProject/iseconfig/iseProject.projectmgr
9,13 → 9,13
<ClosedNodesVersion>2</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>lcd_controller (E:/lcd_block/hdl/iseProject/lcd_controller.v)</SelectedItem>
<SelectedItem>top_hw_testbench (E:/lcd_block/hdl/iseProject/top_hw_testbench.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000117000000020000000000000000000000000200000064ffffffff000000810000000300000002000001170000000100000003000000000000000100000003</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000141000000020000000000000000000000000200000064ffffffff000000810000000300000002000001410000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>lcd_controller (E:/lcd_block/hdl/iseProject/lcd_controller.v)</CurrentItem>
<CurrentItem>top_hw_testbench (E:/lcd_block/hdl/iseProject/top_hw_testbench.v)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
<ClosedNodes>
23,13 → 23,13
<ClosedNode>Design Utilities</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
<SelectedItem/>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
<CurrentItem/>
</ItemView>
<ItemView guiview="File" >
<ClosedNodes>
83,8 → 83,8
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000117000000020000000000000000000000000200000064ffffffff000000810000000300000002000001170000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000141000000020000000000000000000000000200000064ffffffff000000810000000300000002000001410000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>testLcd_controller (E:/lcd_block/hdl/iseProject/testLcd_controller.v)</CurrentItem>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
93,13 → 93,13
<ClosedNode>Design Utilities</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
<SelectedItem/>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
<CurrentItem/>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="DESUT_VERILOG" guiview="Process" >
<ClosedNodes>
/lcd_block/trunk/hdl/iseProject/top_hw_testbench.cpj
1,9 → 1,5
#ChipScope Pro Analyzer Project File, Version 3.0
#Wed May 23 02:46:29 CEST 2012
device.0.configFileDir=E\:\\lcd_block\\hdl\\iseProject
device.0.configFilename=top_hw_testbench.bit
device.0.inserterCDCFileDir=E\:\\lcd_block\\hdl\\iseProject
device.0.inserterCDCFilename=
#Thu May 24 19:05:36 CEST 2012
deviceChain.deviceName0=XC3S500E
deviceChain.deviceName1=XCF04S
deviceChain.deviceName2=XC2C64A
14,35 → 10,34
deviceChain.name1=MyDevice1
deviceChain.name2=MyDevice2
deviceIds=41c22093f504609306e5e093
mdiAreaHeight=0.7407407407407407
mdiAreaHeightLast=0.6990740740740741
mdiAreaHeight=0.7141203703703703
mdiAreaHeightLast=0.6446759259259259
mdiCount=3
mdiDevice0=0
mdiDevice1=0
mdiDevice2=0
mdiType0=6
mdiType1=1
mdiType2=0
mdiUnit0=1
mdiType0=1
mdiType1=0
mdiType2=6
mdiUnit0=0
mdiUnit1=0
mdiUnit2=0
navigatorHeight=0.17708333333333334
navigatorHeightLast=0.17939814814814814
navigatorWidth=0.1794871794871795
navigatorWidthLast=0.1794871794871795
mdiUnit2=1
navigatorHeight=0.22569444444444445
navigatorHeightLast=0.16550925925925927
navigatorWidth=0.13992537313432835
navigatorWidthLast=0.13992537313432835
signalDisplayPath=0
unit.-1.-1.username=
unit.0.0.0.HEIGHT0=0.36263737
unit.0.0.0.HEIGHT0=0.3762215
unit.0.0.0.TriggerRow0=1
unit.0.0.0.TriggerRow1=1
unit.0.0.0.TriggerRow2=1
unit.0.0.0.WIDTH0=0.6702786
unit.0.0.0.WIDTH0=1.0
unit.0.0.0.X0=0.0
unit.0.0.0.Y0=0.0
unit.0.0.1.HEIGHT1=0.62166405
unit.0.0.1.HEIGHT1=0.6188925
unit.0.0.1.WIDTH1=1.0
unit.0.0.1.X1=0.0015479876
unit.0.0.1.Y1=0.3610675
unit.0.0.1.X1=0.0
unit.0.0.1.Y1=0.38110748
unit.0.0.MFBitsA0=R
unit.0.0.MFBitsB0=0
unit.0.0.MFCompareA0=0
72,7 → 67,7
unit.0.0.port.-1.b.0.alias=lcd_nibble
unit.0.0.port.-1.b.0.channellist=5 6 7 8
unit.0.0.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.0.name=DataPort
unit.0.0.port.-1.b.0.name=DataPort_1
unit.0.0.port.-1.b.0.orderindex=-1
unit.0.0.port.-1.b.0.radix=Hex
unit.0.0.port.-1.b.0.signedOffset=0.0
95,7 → 90,7
unit.0.0.port.-1.s.1.name=DataPort[1]
unit.0.0.port.-1.s.1.orderindex=-1
unit.0.0.port.-1.s.1.visible=1
unit.0.0.port.-1.s.10.alias=
unit.0.0.port.-1.s.10.alias=DataPort[10]
unit.0.0.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.10.name=DataPort[10]
unit.0.0.port.-1.s.10.orderindex=-1
145,7 → 140,7
unit.0.0.port.-1.s.4.name=DataPort[4]
unit.0.0.port.-1.s.4.orderindex=-1
unit.0.0.port.-1.s.4.visible=1
unit.0.0.port.-1.s.5.alias=
unit.0.0.port.-1.s.5.alias=lcd_rs11
unit.0.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.5.name=DataPort[5]
unit.0.0.port.-1.s.5.orderindex=-1
205,21 → 200,23
unit.0.0.triggerWindowDepth=16384
unit.0.0.triggerWindowTS=0
unit.0.0.username=MyILA0
unit.0.0.waveform.count=14
unit.0.0.waveform.count=7
unit.0.0.waveform.posn.0.channel=0
unit.0.0.waveform.posn.0.name=strobe_in
unit.0.0.waveform.posn.0.radix=1
unit.0.0.waveform.posn.0.type=signal
unit.0.0.waveform.posn.1.channel=1
unit.0.0.waveform.posn.1.name=done
unit.0.0.waveform.posn.1.radix=1
unit.0.0.waveform.posn.1.type=signal
unit.0.0.waveform.posn.10.channel=13
unit.0.0.waveform.posn.10.name=DataPort[13]
unit.0.0.waveform.posn.10.channel=16
unit.0.0.waveform.posn.10.name=DataPort[16]
unit.0.0.waveform.posn.10.type=signal
unit.0.0.waveform.posn.11.channel=14
unit.0.0.waveform.posn.11.name=DataPort[14]
unit.0.0.waveform.posn.11.channel=16
unit.0.0.waveform.posn.11.name=DataPort[16]
unit.0.0.waveform.posn.11.type=signal
unit.0.0.waveform.posn.12.channel=15
unit.0.0.waveform.posn.12.name=DataPort[15]
unit.0.0.waveform.posn.12.channel=16
unit.0.0.waveform.posn.12.name=DataPort[16]
unit.0.0.waveform.posn.12.type=signal
unit.0.0.waveform.posn.13.channel=16
unit.0.0.waveform.posn.13.name=DataPort[16]
233,312 → 230,312
unit.0.0.waveform.posn.16.channel=16
unit.0.0.waveform.posn.16.name=DataPort[16]
unit.0.0.waveform.posn.16.type=signal
unit.0.0.waveform.posn.2.channel=2
unit.0.0.waveform.posn.2.name=disable_flash
unit.0.0.waveform.posn.2.type=signal
unit.0.0.waveform.posn.3.channel=3
unit.0.0.waveform.posn.3.name=lcd_rw
unit.0.0.waveform.posn.17.channel=16
unit.0.0.waveform.posn.17.name=DataPort[16]
unit.0.0.waveform.posn.17.type=signal
unit.0.0.waveform.posn.2.channel=2147483646
unit.0.0.waveform.posn.2.name=lcd_nibble
unit.0.0.waveform.posn.2.radix=1
unit.0.0.waveform.posn.2.type=bus
unit.0.0.waveform.posn.3.channel=9
unit.0.0.waveform.posn.3.name=lcd_e
unit.0.0.waveform.posn.3.type=signal
unit.0.0.waveform.posn.4.channel=4
unit.0.0.waveform.posn.4.name=lcd_rs
unit.0.0.waveform.posn.4.channel=3
unit.0.0.waveform.posn.4.name=lcd_rw
unit.0.0.waveform.posn.4.type=signal
unit.0.0.waveform.posn.5.channel=2147483646
unit.0.0.waveform.posn.5.name=lcd_nibble
unit.0.0.waveform.posn.5.radix=1
unit.0.0.waveform.posn.5.type=bus
unit.0.0.waveform.posn.6.channel=9
unit.0.0.waveform.posn.6.name=lcd_e
unit.0.0.waveform.posn.5.channel=4
unit.0.0.waveform.posn.5.name=lcd_rs
unit.0.0.waveform.posn.5.type=signal
unit.0.0.waveform.posn.6.channel=2
unit.0.0.waveform.posn.6.name=disable_flash
unit.0.0.waveform.posn.6.radix=1
unit.0.0.waveform.posn.6.type=signal
unit.0.0.waveform.posn.7.channel=10
unit.0.0.waveform.posn.7.name=DataPort[10]
unit.0.0.waveform.posn.7.channel=2
unit.0.0.waveform.posn.7.name=disable_flash
unit.0.0.waveform.posn.7.type=signal
unit.0.0.waveform.posn.8.channel=11
unit.0.0.waveform.posn.8.name=DataPort[11]
unit.0.0.waveform.posn.8.channel=16
unit.0.0.waveform.posn.8.name=DataPort[16]
unit.0.0.waveform.posn.8.type=signal
unit.0.0.waveform.posn.9.channel=12
unit.0.0.waveform.posn.9.name=DataPort[12]
unit.0.0.waveform.posn.9.channel=16
unit.0.0.waveform.posn.9.name=DataPort[16]
unit.0.0.waveform.posn.9.type=signal
unit.0.0.waveform.rulerdisplay=1
unit.0.0.waveform.shownegative=0
unit.0.0.waveform.showtriggermarkers=1
unit.0.0.waveform.showwindowmarkers=1
unit.0.1.6.HEIGHT6=0.33751962
unit.0.1.6.WIDTH6=0.33049536
unit.0.1.6.X6=0.67105263
unit.0.1.6.Y6=0.007849294
unit.0.1.browser_tree_state<Async\ Output\ Port>=1
unit.0.1.6.HEIGHT6=0.5162867
unit.0.1.6.WIDTH6=0.27953044
unit.0.1.6.X6=0.69625825
unit.0.1.6.Y6=0.3762215
unit.0.1.browser_tree_state<Sync\ Output\ Port>=1
unit.0.1.coretype=VIO
unit.0.1.port.-1.buscount=0
unit.0.1.port.-1.channelcount=0
unit.0.1.port.0.buscount=0
unit.0.1.port.0.channelcount=0
unit.0.1.port.1.b.0.alias=data_in
unit.0.1.port.1.b.0.channellist=9 10 11 12 13 14 15 16
unit.0.1.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.b.0.display=0
unit.0.1.port.1.b.0.name=AsyncOut_1
unit.0.1.port.1.b.0.orderindex=-1
unit.0.1.port.1.b.0.radix=Hex
unit.0.1.port.1.b.0.signedOffset=0.0
unit.0.1.port.1.b.0.signedPrecision=0
unit.0.1.port.1.b.0.signedScaleFactor=1.0
unit.0.1.port.1.b.0.tokencount=0
unit.0.1.port.1.b.0.unsignedOffset=0.0
unit.0.1.port.1.b.0.unsignedPrecision=0
unit.0.1.port.1.b.0.unsignedScaleFactor=1.0
unit.0.1.port.1.b.0.value=41
unit.0.1.port.1.b.0.visible=1
unit.0.1.port.1.b.1.alias=period_clk_ns
unit.0.1.port.1.b.1.channellist=0 1 2 3 4 5 6 7
unit.0.1.port.1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.b.1.display=0
unit.0.1.port.1.b.1.name=AsyncOut
unit.0.1.port.1.b.1.orderindex=-1
unit.0.1.port.1.b.1.radix=Unsigned
unit.0.1.port.1.b.1.signedOffset=0.0
unit.0.1.port.1.b.1.signedPrecision=0
unit.0.1.port.1.b.1.signedScaleFactor=1.0
unit.0.1.port.1.b.1.tokencount=0
unit.0.1.port.1.b.1.unsignedOffset=0.0
unit.0.1.port.1.b.1.unsignedPrecision=0
unit.0.1.port.1.b.1.unsignedScaleFactor=1.0
unit.0.1.port.1.b.1.value=20
unit.0.1.port.1.b.1.visible=1
unit.0.1.port.1.buscount=2
unit.0.1.port.1.channelcount=19
unit.0.1.port.1.s.0.alias=
unit.0.1.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.0.display=0
unit.0.1.port.1.s.0.name=AsyncOut[0]
unit.0.1.port.1.s.0.orderindex=-1
unit.0.1.port.1.s.0.persistence=0
unit.0.1.port.1.s.0.value=0
unit.0.1.port.1.s.0.visible=0
unit.0.1.port.1.s.1.alias=
unit.0.1.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.1.display=0
unit.0.1.port.1.s.1.name=AsyncOut[1]
unit.0.1.port.1.s.1.orderindex=-1
unit.0.1.port.1.s.1.persistence=0
unit.0.1.port.1.s.1.value=0
unit.0.1.port.1.s.1.visible=0
unit.0.1.port.1.s.10.alias=
unit.0.1.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.10.display=0
unit.0.1.port.1.s.10.name=AsyncOut[10]
unit.0.1.port.1.s.10.orderindex=-1
unit.0.1.port.1.s.10.persistence=0
unit.0.1.port.1.s.10.value=0
unit.0.1.port.1.s.10.visible=0
unit.0.1.port.1.s.11.alias=
unit.0.1.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.11.display=0
unit.0.1.port.1.s.11.name=AsyncOut[11]
unit.0.1.port.1.s.11.orderindex=-1
unit.0.1.port.1.s.11.persistence=0
unit.0.1.port.1.s.11.value=0
unit.0.1.port.1.s.11.visible=0
unit.0.1.port.1.s.12.alias=
unit.0.1.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.12.display=0
unit.0.1.port.1.s.12.name=AsyncOut[12]
unit.0.1.port.1.s.12.orderindex=-1
unit.0.1.port.1.s.12.persistence=0
unit.0.1.port.1.s.12.value=0
unit.0.1.port.1.s.12.visible=0
unit.0.1.port.1.s.13.alias=
unit.0.1.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.13.display=0
unit.0.1.port.1.s.13.name=AsyncOut[13]
unit.0.1.port.1.s.13.orderindex=-1
unit.0.1.port.1.s.13.persistence=0
unit.0.1.port.1.s.13.value=0
unit.0.1.port.1.s.13.visible=0
unit.0.1.port.1.s.14.alias=
unit.0.1.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.14.display=0
unit.0.1.port.1.s.14.name=AsyncOut[14]
unit.0.1.port.1.s.14.orderindex=-1
unit.0.1.port.1.s.14.persistence=0
unit.0.1.port.1.s.14.value=0
unit.0.1.port.1.s.14.visible=0
unit.0.1.port.1.s.15.alias=
unit.0.1.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.15.display=0
unit.0.1.port.1.s.15.name=AsyncOut[15]
unit.0.1.port.1.s.15.orderindex=-1
unit.0.1.port.1.s.15.persistence=0
unit.0.1.port.1.s.15.value=1
unit.0.1.port.1.s.15.visible=0
unit.0.1.port.1.s.16.alias=
unit.0.1.port.1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.16.display=0
unit.0.1.port.1.s.16.name=AsyncOut[16]
unit.0.1.port.1.s.16.orderindex=-1
unit.0.1.port.1.s.16.persistence=0
unit.0.1.port.1.s.16.value=0
unit.0.1.port.1.s.16.visible=0
unit.0.1.port.1.s.17.alias=rs_in
unit.0.1.port.1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.17.display=3
unit.0.1.port.1.s.17.name=AsyncOut[17]
unit.0.1.port.1.s.17.orderindex=-1
unit.0.1.port.1.s.17.persistence=0
unit.0.1.port.1.s.17.value=1
unit.0.1.port.1.s.17.visible=1
unit.0.1.port.1.s.18.alias=rst
unit.0.1.port.1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.18.display=1
unit.0.1.port.1.s.18.name=AsyncOut[18]
unit.0.1.port.1.s.18.orderindex=-1
unit.0.1.port.1.s.18.persistence=0
unit.0.1.port.1.s.18.value=0
unit.0.1.port.1.s.18.visible=1
unit.0.1.port.1.s.2.alias=
unit.0.1.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.2.display=0
unit.0.1.port.1.s.2.name=AsyncOut[2]
unit.0.1.port.1.s.2.orderindex=-1
unit.0.1.port.1.s.2.persistence=0
unit.0.1.port.1.s.2.value=1
unit.0.1.port.1.s.2.visible=0
unit.0.1.port.1.s.3.alias=
unit.0.1.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.3.display=0
unit.0.1.port.1.s.3.name=AsyncOut[3]
unit.0.1.port.1.s.3.orderindex=-1
unit.0.1.port.1.s.3.persistence=0
unit.0.1.port.1.s.3.value=0
unit.0.1.port.1.s.3.visible=0
unit.0.1.port.1.s.4.alias=
unit.0.1.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.4.display=0
unit.0.1.port.1.s.4.name=AsyncOut[4]
unit.0.1.port.1.s.4.orderindex=-1
unit.0.1.port.1.s.4.persistence=0
unit.0.1.port.1.s.4.value=1
unit.0.1.port.1.s.4.visible=0
unit.0.1.port.1.s.5.alias=
unit.0.1.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.5.display=0
unit.0.1.port.1.s.5.name=AsyncOut[5]
unit.0.1.port.1.s.5.orderindex=-1
unit.0.1.port.1.s.5.persistence=0
unit.0.1.port.1.s.5.value=0
unit.0.1.port.1.s.5.visible=0
unit.0.1.port.1.s.6.alias=
unit.0.1.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.6.display=0
unit.0.1.port.1.s.6.name=AsyncOut[6]
unit.0.1.port.1.s.6.orderindex=-1
unit.0.1.port.1.s.6.persistence=0
unit.0.1.port.1.s.6.value=0
unit.0.1.port.1.s.6.visible=0
unit.0.1.port.1.s.7.alias=
unit.0.1.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.7.display=0
unit.0.1.port.1.s.7.name=AsyncOut[7]
unit.0.1.port.1.s.7.orderindex=-1
unit.0.1.port.1.s.7.persistence=0
unit.0.1.port.1.s.7.value=0
unit.0.1.port.1.s.7.visible=0
unit.0.1.port.1.s.8.alias=strobe_in
unit.0.1.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.8.display=1
unit.0.1.port.1.s.8.name=AsyncOut[8]
unit.0.1.port.1.s.8.orderindex=-1
unit.0.1.port.1.s.8.persistence=0
unit.0.1.port.1.s.8.value=0
unit.0.1.port.1.s.8.visible=1
unit.0.1.port.1.s.9.alias=
unit.0.1.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.1.s.9.display=0
unit.0.1.port.1.s.9.name=AsyncOut[9]
unit.0.1.port.1.s.9.orderindex=-1
unit.0.1.port.1.s.9.persistence=0
unit.0.1.port.1.s.9.value=1
unit.0.1.port.1.s.9.visible=0
unit.0.1.port.2.buscount=0
unit.0.1.port.2.channelcount=0
unit.0.1.port.1.buscount=0
unit.0.1.port.1.channelcount=0
unit.0.1.port.2.b.0.alias=data_in
unit.0.1.port.2.b.0.channellist=9 10 11 12 13 14 15 16
unit.0.1.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.b.0.display=0
unit.0.1.port.2.b.0.name=SyncOut_1
unit.0.1.port.2.b.0.orderindex=-1
unit.0.1.port.2.b.0.radix=Ascii
unit.0.1.port.2.b.0.signedOffset=0.0
unit.0.1.port.2.b.0.signedPrecision=0
unit.0.1.port.2.b.0.signedScaleFactor=1.0
unit.0.1.port.2.b.0.tokencount=0
unit.0.1.port.2.b.0.unsignedOffset=0.0
unit.0.1.port.2.b.0.unsignedPrecision=0
unit.0.1.port.2.b.0.unsignedScaleFactor=1.0
unit.0.1.port.2.b.0.value=A
unit.0.1.port.2.b.0.visible=1
unit.0.1.port.2.b.1.alias=period_clk_ns
unit.0.1.port.2.b.1.channellist=0 1 2 3 4 5 6 7
unit.0.1.port.2.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.b.1.display=0
unit.0.1.port.2.b.1.name=SyncOut
unit.0.1.port.2.b.1.orderindex=-1
unit.0.1.port.2.b.1.radix=Unsigned
unit.0.1.port.2.b.1.signedOffset=0.0
unit.0.1.port.2.b.1.signedPrecision=0
unit.0.1.port.2.b.1.signedScaleFactor=1.0
unit.0.1.port.2.b.1.tokencount=0
unit.0.1.port.2.b.1.unsignedOffset=0.0
unit.0.1.port.2.b.1.unsignedPrecision=0
unit.0.1.port.2.b.1.unsignedScaleFactor=1.0
unit.0.1.port.2.b.1.value=20
unit.0.1.port.2.b.1.visible=1
unit.0.1.port.2.buscount=2
unit.0.1.port.2.channelcount=19
unit.0.1.port.2.s.0.alias=
unit.0.1.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.0.display=0
unit.0.1.port.2.s.0.name=SyncOut[0]
unit.0.1.port.2.s.0.orderindex=-1
unit.0.1.port.2.s.0.persistence=0
unit.0.1.port.2.s.0.value=0
unit.0.1.port.2.s.0.visible=0
unit.0.1.port.2.s.1.alias=
unit.0.1.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.1.display=0
unit.0.1.port.2.s.1.name=SyncOut[1]
unit.0.1.port.2.s.1.orderindex=-1
unit.0.1.port.2.s.1.persistence=0
unit.0.1.port.2.s.1.value=0
unit.0.1.port.2.s.1.visible=0
unit.0.1.port.2.s.10.alias=
unit.0.1.port.2.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.10.display=0
unit.0.1.port.2.s.10.name=SyncOut[10]
unit.0.1.port.2.s.10.orderindex=-1
unit.0.1.port.2.s.10.persistence=0
unit.0.1.port.2.s.10.value=0
unit.0.1.port.2.s.10.visible=0
unit.0.1.port.2.s.11.alias=
unit.0.1.port.2.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.11.display=0
unit.0.1.port.2.s.11.name=SyncOut[11]
unit.0.1.port.2.s.11.orderindex=-1
unit.0.1.port.2.s.11.persistence=0
unit.0.1.port.2.s.11.value=0
unit.0.1.port.2.s.11.visible=0
unit.0.1.port.2.s.12.alias=
unit.0.1.port.2.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.12.display=0
unit.0.1.port.2.s.12.name=SyncOut[12]
unit.0.1.port.2.s.12.orderindex=-1
unit.0.1.port.2.s.12.persistence=0
unit.0.1.port.2.s.12.value=0
unit.0.1.port.2.s.12.visible=0
unit.0.1.port.2.s.13.alias=
unit.0.1.port.2.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.13.display=0
unit.0.1.port.2.s.13.name=SyncOut[13]
unit.0.1.port.2.s.13.orderindex=-1
unit.0.1.port.2.s.13.persistence=0
unit.0.1.port.2.s.13.value=0
unit.0.1.port.2.s.13.visible=0
unit.0.1.port.2.s.14.alias=
unit.0.1.port.2.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.14.display=0
unit.0.1.port.2.s.14.name=SyncOut[14]
unit.0.1.port.2.s.14.orderindex=-1
unit.0.1.port.2.s.14.persistence=0
unit.0.1.port.2.s.14.value=0
unit.0.1.port.2.s.14.visible=0
unit.0.1.port.2.s.15.alias=
unit.0.1.port.2.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.15.display=0
unit.0.1.port.2.s.15.name=SyncOut[15]
unit.0.1.port.2.s.15.orderindex=-1
unit.0.1.port.2.s.15.persistence=0
unit.0.1.port.2.s.15.value=1
unit.0.1.port.2.s.15.visible=0
unit.0.1.port.2.s.16.alias=
unit.0.1.port.2.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.16.display=0
unit.0.1.port.2.s.16.name=SyncOut[16]
unit.0.1.port.2.s.16.orderindex=-1
unit.0.1.port.2.s.16.persistence=0
unit.0.1.port.2.s.16.value=0
unit.0.1.port.2.s.16.visible=0
unit.0.1.port.2.s.17.alias=rs_in
unit.0.1.port.2.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.17.display=0
unit.0.1.port.2.s.17.name=SyncOut[17]
unit.0.1.port.2.s.17.orderindex=-1
unit.0.1.port.2.s.17.persistence=0
unit.0.1.port.2.s.17.value=0
unit.0.1.port.2.s.17.visible=1
unit.0.1.port.2.s.18.alias=rst
unit.0.1.port.2.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.18.display=0
unit.0.1.port.2.s.18.name=SyncOut[18]
unit.0.1.port.2.s.18.orderindex=-1
unit.0.1.port.2.s.18.persistence=0
unit.0.1.port.2.s.18.value=0
unit.0.1.port.2.s.18.visible=1
unit.0.1.port.2.s.2.alias=
unit.0.1.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.2.display=0
unit.0.1.port.2.s.2.name=SyncOut[2]
unit.0.1.port.2.s.2.orderindex=-1
unit.0.1.port.2.s.2.persistence=0
unit.0.1.port.2.s.2.value=1
unit.0.1.port.2.s.2.visible=0
unit.0.1.port.2.s.3.alias=
unit.0.1.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.3.display=0
unit.0.1.port.2.s.3.name=SyncOut[3]
unit.0.1.port.2.s.3.orderindex=-1
unit.0.1.port.2.s.3.persistence=0
unit.0.1.port.2.s.3.value=0
unit.0.1.port.2.s.3.visible=0
unit.0.1.port.2.s.4.alias=
unit.0.1.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.4.display=0
unit.0.1.port.2.s.4.name=SyncOut[4]
unit.0.1.port.2.s.4.orderindex=-1
unit.0.1.port.2.s.4.persistence=0
unit.0.1.port.2.s.4.value=1
unit.0.1.port.2.s.4.visible=0
unit.0.1.port.2.s.5.alias=
unit.0.1.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.5.display=0
unit.0.1.port.2.s.5.name=SyncOut[5]
unit.0.1.port.2.s.5.orderindex=-1
unit.0.1.port.2.s.5.persistence=0
unit.0.1.port.2.s.5.value=0
unit.0.1.port.2.s.5.visible=0
unit.0.1.port.2.s.6.alias=
unit.0.1.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.6.display=0
unit.0.1.port.2.s.6.name=SyncOut[6]
unit.0.1.port.2.s.6.orderindex=-1
unit.0.1.port.2.s.6.persistence=0
unit.0.1.port.2.s.6.value=0
unit.0.1.port.2.s.6.visible=0
unit.0.1.port.2.s.7.alias=
unit.0.1.port.2.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.7.display=0
unit.0.1.port.2.s.7.name=SyncOut[7]
unit.0.1.port.2.s.7.orderindex=-1
unit.0.1.port.2.s.7.persistence=0
unit.0.1.port.2.s.7.value=0
unit.0.1.port.2.s.7.visible=0
unit.0.1.port.2.s.8.alias=strobe_in
unit.0.1.port.2.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.8.display=1
unit.0.1.port.2.s.8.name=SyncOut[8]
unit.0.1.port.2.s.8.orderindex=-1
unit.0.1.port.2.s.8.persistence=0
unit.0.1.port.2.s.8.value=0
unit.0.1.port.2.s.8.visible=1
unit.0.1.port.2.s.9.alias=
unit.0.1.port.2.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.1.port.2.s.9.display=0
unit.0.1.port.2.s.9.name=SyncOut[9]
unit.0.1.port.2.s.9.orderindex=-1
unit.0.1.port.2.s.9.persistence=0
unit.0.1.port.2.s.9.value=1
unit.0.1.port.2.s.9.visible=0
unit.0.1.portcount=3
unit.0.1.username=MyVIO1
unit.0.1.vio.count=5
unit.0.1.vio.posn.0.channel=2147483646
unit.0.1.vio.posn.0.name=period_clk_ns
unit.0.1.vio.posn.0.port=1
unit.0.1.vio.posn.0.port=2
unit.0.1.vio.posn.0.radix=4
unit.0.1.vio.posn.0.type=bus
unit.0.1.vio.posn.1.channel=8
unit.0.1.vio.posn.1.name=strobe_in
unit.0.1.vio.posn.1.port=1
unit.0.1.vio.posn.1.port=2
unit.0.1.vio.posn.1.type=signal
unit.0.1.vio.posn.10.channel=18
unit.0.1.vio.posn.10.name=AsyncOut[18]
unit.0.1.vio.posn.10.port=1
unit.0.1.vio.posn.10.name=SyncOut[18]
unit.0.1.vio.posn.10.port=2
unit.0.1.vio.posn.10.type=signal
unit.0.1.vio.posn.11.channel=18
unit.0.1.vio.posn.11.name=AsyncOut[18]
unit.0.1.vio.posn.11.port=1
unit.0.1.vio.posn.11.name=SyncOut[18]
unit.0.1.vio.posn.11.port=2
unit.0.1.vio.posn.11.type=signal
unit.0.1.vio.posn.12.channel=18
unit.0.1.vio.posn.12.name=AsyncOut[18]
unit.0.1.vio.posn.12.port=1
unit.0.1.vio.posn.12.name=SyncOut[18]
unit.0.1.vio.posn.12.port=2
unit.0.1.vio.posn.12.type=signal
unit.0.1.vio.posn.13.channel=18
unit.0.1.vio.posn.13.name=AsyncOut[18]
unit.0.1.vio.posn.13.port=1
unit.0.1.vio.posn.13.name=SyncOut[18]
unit.0.1.vio.posn.13.port=2
unit.0.1.vio.posn.13.type=signal
unit.0.1.vio.posn.14.channel=18
unit.0.1.vio.posn.14.name=AsyncOut[18]
unit.0.1.vio.posn.14.port=1
unit.0.1.vio.posn.14.name=SyncOut[18]
unit.0.1.vio.posn.14.port=2
unit.0.1.vio.posn.14.type=signal
unit.0.1.vio.posn.15.channel=18
unit.0.1.vio.posn.15.name=AsyncOut[18]
unit.0.1.vio.posn.15.port=1
unit.0.1.vio.posn.15.name=SyncOut[18]
unit.0.1.vio.posn.15.port=2
unit.0.1.vio.posn.15.type=signal
unit.0.1.vio.posn.16.channel=18
unit.0.1.vio.posn.16.name=AsyncOut[18]
unit.0.1.vio.posn.16.port=1
unit.0.1.vio.posn.16.name=SyncOut[18]
unit.0.1.vio.posn.16.port=2
unit.0.1.vio.posn.16.type=signal
unit.0.1.vio.posn.17.channel=18
unit.0.1.vio.posn.17.name=AsyncOut[18]
unit.0.1.vio.posn.17.port=1
unit.0.1.vio.posn.17.name=SyncOut[18]
unit.0.1.vio.posn.17.port=2
unit.0.1.vio.posn.17.type=signal
unit.0.1.vio.posn.18.channel=18
unit.0.1.vio.posn.18.name=AsyncOut[18]
unit.0.1.vio.posn.18.port=1
unit.0.1.vio.posn.18.name=SyncOut[18]
unit.0.1.vio.posn.18.port=2
unit.0.1.vio.posn.18.type=signal
unit.0.1.vio.posn.2.channel=2147483646
unit.0.1.vio.posn.2.name=data_in
unit.0.1.vio.posn.2.port=1
unit.0.1.vio.posn.2.radix=1
unit.0.1.vio.posn.2.port=2
unit.0.1.vio.posn.2.radix=5
unit.0.1.vio.posn.2.type=bus
unit.0.1.vio.posn.3.channel=17
unit.0.1.vio.posn.3.name=rs_in
unit.0.1.vio.posn.3.port=1
unit.0.1.vio.posn.3.port=2
unit.0.1.vio.posn.3.type=signal
unit.0.1.vio.posn.4.channel=18
unit.0.1.vio.posn.4.name=rst
unit.0.1.vio.posn.4.port=1
unit.0.1.vio.posn.4.port=2
unit.0.1.vio.posn.4.type=signal
unit.0.1.vio.posn.5.channel=18
unit.0.1.vio.posn.5.name=AsyncOut[18]
unit.0.1.vio.posn.5.port=1
unit.0.1.vio.posn.5.name=SyncOut[18]
unit.0.1.vio.posn.5.port=2
unit.0.1.vio.posn.5.type=signal
unit.0.1.vio.posn.6.channel=18
unit.0.1.vio.posn.6.name=AsyncOut[18]
unit.0.1.vio.posn.6.port=1
unit.0.1.vio.posn.6.name=SyncOut[18]
unit.0.1.vio.posn.6.port=2
unit.0.1.vio.posn.6.type=signal
unit.0.1.vio.posn.7.channel=18
unit.0.1.vio.posn.7.name=AsyncOut[18]
unit.0.1.vio.posn.7.port=1
unit.0.1.vio.posn.7.name=SyncOut[18]
unit.0.1.vio.posn.7.port=2
unit.0.1.vio.posn.7.type=signal
unit.0.1.vio.posn.8.channel=18
unit.0.1.vio.posn.8.name=AsyncOut[18]
unit.0.1.vio.posn.8.port=1
unit.0.1.vio.posn.8.name=SyncOut[18]
unit.0.1.vio.posn.8.port=2
unit.0.1.vio.posn.8.type=signal
unit.0.1.vio.posn.9.channel=18
unit.0.1.vio.posn.9.name=AsyncOut[18]
unit.0.1.vio.posn.9.port=1
unit.0.1.vio.posn.9.name=SyncOut[18]
unit.0.1.vio.posn.9.port=2
unit.0.1.vio.posn.9.type=signal
unit.0.1.vio.readperiod=0
/lcd_block/trunk/hdl/iseProject/iseProject.gise
200,7 → 200,7
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1337729185" xil_pn:in_ck="-8399759803742926499" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-1337690299192448305" xil_pn:start_ts="1337729185">
<transform xil_pn:end_ts="1337877924" xil_pn:in_ck="-8399759803742926499" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-1337690299192448305" xil_pn:start_ts="1337877924">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="ipcore_dir/coreICON.ngc"/>
226,7 → 226,7
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1337734081" xil_pn:in_ck="4747555295481960126" xil_pn:name="TRANEXT_xstsynthesize_spartan3e" xil_pn:prop_ck="-5172275256582554922" xil_pn:start_ts="1337734072">
<transform xil_pn:end_ts="1337877933" xil_pn:in_ck="4747555295481960126" xil_pn:name="TRANEXT_xstsynthesize_spartan3e" xil_pn:prop_ck="-5172275256582554922" xil_pn:start_ts="1337877924">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
250,7 → 250,7
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1337734091" xil_pn:in_ck="-5772489953451663748" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-7079812952058613934" xil_pn:start_ts="1337734087">
<transform xil_pn:end_ts="1337877939" xil_pn:in_ck="-5772489953451663748" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-7079812952058613934" xil_pn:start_ts="1337877933">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
260,7 → 260,7
<outfile xil_pn:name="top_hw_testbench.ngd"/>
<outfile xil_pn:name="top_hw_testbench_ngdbuild.xrpt"/>
</transform>
<transform xil_pn:end_ts="1337734095" xil_pn:in_ck="-7080687810229386214" xil_pn:name="TRANEXT_map_spartan3" xil_pn:prop_ck="-5849673150125579957" xil_pn:start_ts="1337734091">
<transform xil_pn:end_ts="1337877946" xil_pn:in_ck="-7080687810229386214" xil_pn:name="TRANEXT_map_spartan3" xil_pn:prop_ck="-5849673150125579957" xil_pn:start_ts="1337877939">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
276,7 → 276,7
<outfile xil_pn:name="top_hw_testbench_summary.xml"/>
<outfile xil_pn:name="top_hw_testbench_usage.xml"/>
</transform>
<transform xil_pn:end_ts="1337734115" xil_pn:in_ck="6001200367856259123" xil_pn:name="TRANEXT_par_spartan3" xil_pn:prop_ck="-5563652517805085498" xil_pn:start_ts="1337734095">
<transform xil_pn:end_ts="1337877971" xil_pn:in_ck="6001200367856259123" xil_pn:name="TRANEXT_par_spartan3" xil_pn:prop_ck="-5563652517805085498" xil_pn:start_ts="1337877946">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
291,7 → 291,7
<outfile xil_pn:name="top_hw_testbench_pad.txt"/>
<outfile xil_pn:name="top_hw_testbench_par.xrpt"/>
</transform>
<transform xil_pn:end_ts="1337734147" xil_pn:in_ck="5725138416503842291" xil_pn:name="TRANEXT_bitFile_spartan3e" xil_pn:prop_ck="287829442711806529" xil_pn:start_ts="1337734118">
<transform xil_pn:end_ts="1337877998" xil_pn:in_ck="5725138416503842291" xil_pn:name="TRANEXT_bitFile_spartan3e" xil_pn:prop_ck="287829442711806529" xil_pn:start_ts="1337877971">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
304,17 → 304,15
<outfile xil_pn:name="webtalk.log"/>
<outfile xil_pn:name="webtalk_pn.xml"/>
</transform>
<transform xil_pn:end_ts="1337733856" xil_pn:in_ck="5725138416503829437" xil_pn:name="TRAN_configureTargetDevice" xil_pn:prop_ck="5582947192412673156" xil_pn:start_ts="1337733855">
<transform xil_pn:end_ts="1337878052" xil_pn:in_ck="5725138416503829437" xil_pn:name="TRAN_configureTargetDevice" xil_pn:prop_ck="5582947192412673156" xil_pn:start_ts="1337878050">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="InputChanged"/>
</transform>
<transform xil_pn:end_ts="1337734167" xil_pn:in_ck="5725138416503829437" xil_pn:name="TRAN_analyzeDesignUsingChipscope" xil_pn:prop_ck="-7079812952058613934" xil_pn:start_ts="1337734166">
<transform xil_pn:end_ts="1337878222" xil_pn:in_ck="5725138416503829437" xil_pn:name="TRAN_analyzeDesignUsingChipscope" xil_pn:prop_ck="-7079812952058613934" xil_pn:start_ts="1337878222">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1337734115" xil_pn:in_ck="-7080687810229386346" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416186" xil_pn:start_ts="1337734112">
<transform xil_pn:end_ts="1337877971" xil_pn:in_ck="-7080687810229386346" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416186" xil_pn:start_ts="1337877968">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
/lcd_block/trunk/hdl/iseProject/iseProject.xise
273,6 → 273,7
<property xil_pn:name="Priority Encoder Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Description" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="ProjNav" xil_pn:valueState="default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.