OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 137 to Rev 138
    Reverse comparison

Rev 137 → Rev 138

/ion/trunk/vhdl/demo/mips_mpu.vhdl
42,7 → 42,10
 
-- UART
uart_rxd : in std_logic;
uart_txd : out std_logic
uart_txd : out std_logic;
-- Debug info
debug_info : out t_debug_info
);
end; --entity mips_mpu
 
60,6 → 63,7
signal cpu_mem_wait : std_logic;
signal cpu_ic_invalidate : std_logic;
signal cpu_cache_enable : std_logic;
signal unmapped_access : std_logic;
 
 
-- interface to i/o
179,9 → 183,9
X"00031E02",X"2C67000E",X"10E00003",X"00644804",
X"05200004",X"00000000",X"A1030000",X"0BF00141",
X"24C60001",X"00463021",X"03E00008",X"A0C00000",
X"636F6D70",X"696C6520",X"74696D65",X"3A204D61",
X"79203239",X"20323031",X"31202D2D",X"2031373A",
X"30383A33",X"390A0000",X"67636320",X"76657273",
X"636F6D70",X"696C6520",X"74696D65",X"3A204A75",
X"6E202031",X"20323031",X"31202D2D",X"2031353A",
X"30343A32",X"330A0000",X"67636320",X"76657273",
X"696F6E3A",X"2020342E",X"352E320A",X"00000000",
X"0A0A4865",X"6C6C6F20",X"576F726C",X"64210A0A",
X"0A000000",X"00000000",X"00000000",X"00000000",
667,6 → 671,7
mem_wait => cpu_mem_wait,
cache_enable => cpu_cache_enable,
ic_invalidate => cpu_ic_invalidate,
unmapped => unmapped_access,
-- interface to FPGA i/o devices
io_rd_data => mpu_io_rd_data,
712,8 → 717,30
 
 
--------------------------------------------------------------------------------
-- Debug stuff
 
-- Register some debug signals. These are meant to be connected to LEDs on a
-- dev board, or maybe to logic analyzer probes. They are not useful once
-- the core is fully debugged.
debug_info_register:
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
debug_info.unmapped_access <= '0';
else
if unmapped_access='1' then
-- This flag will be asserted permanently after any kind of
-- unmapped access (code, data read or data write).
debug_info.unmapped_access <= '1';
end if;
end if;
debug_info.cache_enabled <= cpu_cache_enable;
end if;
end process debug_info_register;
 
 
--------------------------------------------------------------------------------
 
serial_rx : entity work.rs232_rx
/ion/trunk/vhdl/tb/mips_tb2.vhdl
49,7 → 49,7
constant SIMULATED_UART_TX_TIME : time := 0.0 us;
 
-- Simulation length in clock cycles, should be long enough (you have to try...)
constant SIMULATION_LENGTH : integer := 400000;
constant SIMULATION_LENGTH : integer := 90000;
 
-- Simulated external SRAM size in 32-bit words
constant SRAM_SIZE : integer := 1024;
73,44 → 73,8
 
-- bram0 is LSB, bram3 is MSB
signal bram3 : t_bram := (
X"10",X"00",X"40",X"0F",X"00",X"3C",X"24",X"0F",
X"00",X"24",X"24",X"0F",X"00",X"3C",X"24",X"0F",
X"00",X"24",X"24",X"24",X"24",X"01",X"AD",X"8D",
X"21",X"AD",X"00",X"8D",X"00",X"21",X"AD",X"00",
X"8D",X"00",X"8D",X"11",X"00",X"AD",X"8D",X"15",
X"00",X"01",X"15",X"25",X"10",X"00",X"10",X"00",
X"10",X"00",X"3C",X"24",X"0F",X"00",X"21",X"01",
X"23",X"24",X"0F",X"00",X"3C",X"24",X"0F",X"00",
X"24",X"20",X"AC",X"00",X"14",X"20",X"24",X"24",
X"3C",X"24",X"8C",X"00",X"AC",X"20",X"20",X"14",
X"20",X"3C",X"24",X"0F",X"00",X"24",X"0F",X"34",
X"24",X"00",X"00",X"00",X"3C",X"24",X"0F",X"00",
X"3C",X"00",X"00",X"00",X"3C",X"24",X"0F",X"00",
X"0B",X"00",X"AF",X"23",X"3C",X"24",X"3C",X"24",
X"00",X"00",X"8F",X"03",X"23",X"00",X"00",X"00",
X"AF",X"23",X"01",X"24",X"0F",X"00",X"3C",X"24",
X"0F",X"00",X"24",X"8D",X"0F",X"24",X"3C",X"24",
X"0F",X"21",X"23",X"17",X"00",X"3C",X"24",X"0F",
X"00",X"21",X"15",X"00",X"3C",X"24",X"0F",X"21",
X"00",X"8F",X"03",X"23",X"3C",X"40",X"24",X"24",
X"24",X"AC",X"00",X"14",X"20",X"40",X"3C",X"00",
X"03",X"40",X"3C",X"80",X"10",X"24",X"8C",X"30",
X"10",X"00",X"AC",X"10",X"00",X"03",X"00",X"3C",
X"3C",X"24",X"20",X"00",X"00",X"00",X"30",X"00",
X"82",X"8C",X"30",X"10",X"00",X"AC",X"14",X"20",
X"03",X"00",X"30",X"34",X"38",X"63",X"0A",X"61",
X"6E",X"78",X"6E",X"6D",X"72",X"74",X"00",X"6E",
X"52",X"74",X"61",X"20",X"20",X"20",X"0A",X"20",
X"74",X"72",X"21",X"62",X"72",X"62",X"21",X"0A",
X"6D",X"67",X"65",X"72",X"66",X"77",X"73",X"20",
X"53",X"74",X"64",X"73",X"00",X"65",X"6E",X"6F",
X"65",X"75",X"6E",X"6F",X"52",X"2E",X"69",X"6F",
X"65",X"68",X"20",X"77",X"65",X"00",X"0D",X"20",
X"74",X"2E",X"0D",X"6D",X"66",X"72",X"66",X"77",
X"73",X"20",X"4D",X"74",X"69",X"69",X"7A",X"6F",
X"0D",X"00",X"64",X"20",X"70",X"0D",X"74",X"20",
X"63",X"6F",X"72",X"38",X"74",X"61",X"20",X"6F",
X"28",X"53",X"0D",X"00",X"00",X"00",X"00",X"00",
X"3C",X"27",X"3C",X"24",X"3C",X"24",X"3C",X"27",
X"AC",X"00",X"14",X"24",X"0F",X"00",X"0B",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
121,6 → 85,40
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"23",X"AF",X"AF",X"AF",X"AF",X"AF",X"AF",X"AF",
X"AF",X"AF",X"AF",X"AF",X"AF",X"AF",X"AF",X"AF",
X"AF",X"AF",X"AF",X"40",X"23",X"AF",X"00",X"AF",
X"00",X"AF",X"3C",X"8C",X"00",X"8C",X"00",X"00",
X"0F",X"23",X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",
X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",
X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",X"00",X"03",
X"8F",X"00",X"03",X"23",X"34",X"03",X"40",X"AC",
X"AC",X"AC",X"AC",X"AC",X"AC",X"AC",X"AC",X"AC",
X"AC",X"AC",X"AC",X"03",X"34",X"8C",X"8C",X"8C",
X"8C",X"8C",X"8C",X"8C",X"8C",X"8C",X"8C",X"8C",
X"8C",X"00",X"03",X"34",X"3C",X"27",X"AF",X"0F",
X"24",X"3C",X"0F",X"24",X"3C",X"8F",X"24",X"0B",
X"27",X"27",X"00",X"00",X"24",X"0B",X"24",X"11",
X"00",X"14",X"00",X"00",X"03",X"24",X"01",X"00",
X"24",X"00",X"14",X"A0",X"03",X"3C",X"8C",X"00",
X"30",X"10",X"00",X"AC",X"10",X"00",X"90",X"0B",
X"24",X"03",X"27",X"0B",X"00",X"03",X"00",X"24",
X"00",X"30",X"2C",X"10",X"3C",X"24",X"8C",X"00",
X"30",X"10",X"3C",X"AC",X"24",X"00",X"30",X"2C",
X"14",X"24",X"24",X"8C",X"00",X"30",X"10",X"3C",
X"AC",X"0B",X"24",X"3C",X"8C",X"00",X"30",X"10",
X"3C",X"AC",X"03",X"00",X"3C",X"8C",X"00",X"30",
X"10",X"3C",X"8C",X"03",X"00",X"90",X"00",X"10",
X"24",X"3C",X"24",X"10",X"00",X"24",X"8C",X"00",
X"30",X"10",X"3C",X"AC",X"90",X"00",X"14",X"00",
X"03",X"00",X"8C",X"00",X"30",X"10",X"3C",X"AC",
X"90",X"0B",X"24",X"03",X"00",X"00",X"00",X"3C",
X"3C",X"8C",X"00",X"30",X"10",X"00",X"8C",X"00",
X"00",X"2C",X"10",X"00",X"05",X"00",X"A1",X"0B",
X"24",X"00",X"03",X"A0",X"63",X"69",X"74",X"3A",
X"6E",X"20",X"31",X"20",X"30",X"36",X"67",X"76",
X"69",X"20",X"35",X"00",X"0A",X"6C",X"57",X"64",
X"0A",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
328,47 → 326,13
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
signal bram2 : t_bram := (
X"00",X"00",X"80",X"F0",X"00",X"04",X"84",X"F0",
X"00",X"04",X"05",X"F0",X"00",X"04",X"84",X"F0",
X"00",X"08",X"0A",X"0B",X"0C",X"00",X"00",X"09",
X"2A",X"0A",X"00",X"0B",X"00",X"4A",X"0A",X"00",
X"0B",X"00",X"09",X"A9",X"00",X"08",X"09",X"28",
X"00",X"4B",X"40",X"08",X"00",X"00",X"00",X"00",
X"00",X"00",X"04",X"84",X"F0",X"00",X"04",X"00",
X"BD",X"05",X"F0",X"00",X"04",X"84",X"F0",X"00",
X"04",X"85",X"80",X"85",X"20",X"84",X"05",X"04",
X"07",X"E7",X"E6",X"00",X"86",X"A5",X"E7",X"A0",
X"84",X"04",X"84",X"F0",X"00",X"04",X"F0",X"05",
X"04",X"00",X"80",X"00",X"04",X"84",X"F0",X"00",
X"04",X"00",X"80",X"00",X"04",X"84",X"F0",X"00",
X"F0",X"00",X"BF",X"BD",X"04",X"84",X"05",X"A5",
X"A0",X"00",X"BF",X"E0",X"BD",X"00",X"80",X"A0",
X"BF",X"BD",X"E0",X"05",X"F0",X"00",X"04",X"84",
X"F0",X"00",X"19",X"E4",X"F0",X"05",X"04",X"84",
X"F0",X"EF",X"39",X"20",X"00",X"04",X"84",X"F0",
X"00",X"CE",X"C0",X"00",X"04",X"84",X"F0",X"EF",
X"00",X"BF",X"E0",X"BD",X"04",X"84",X"04",X"06",
X"05",X"86",X"C5",X"20",X"C6",X"04",X"05",X"A5",
X"E0",X"84",X"06",X"82",X"40",X"84",X"C3",X"63",
X"60",X"00",X"C2",X"00",X"00",X"E0",X"00",X"06",
X"07",X"E7",X"A5",X"A5",X"A5",X"A4",X"42",X"E2",
X"42",X"C3",X"63",X"60",X"00",X"C2",X"A0",X"A5",
X"E0",X"00",X"31",X"35",X"39",X"64",X"0D",X"6E",
X"67",X"74",X"61",X"65",X"79",X"20",X"46",X"64",
X"41",X"6F",X"74",X"20",X"20",X"30",X"0D",X"00",
X"20",X"72",X"0A",X"61",X"65",X"61",X"0A",X"0D",
X"70",X"20",X"20",X"73",X"65",X"6F",X"20",X"46",
X"48",X"20",X"72",X"20",X"0A",X"73",X"67",X"64",
X"78",X"74",X"20",X"6D",X"41",X"2E",X"66",X"75",
X"65",X"69",X"69",X"6F",X"64",X"0A",X"45",X"6F",
X"65",X"0A",X"00",X"70",X"20",X"73",X"65",X"6F",
X"20",X"58",X"20",X"65",X"6E",X"61",X"61",X"6E",
X"00",X"3C",X"20",X"64",X"3E",X"54",X"69",X"65",
X"75",X"6E",X"6F",X"2D",X"20",X"74",X"6D",X"72",
X"46",X"48",X"00",X"00",X"00",X"00",X"00",X"00",
X"1C",X"9C",X"05",X"A5",X"04",X"84",X"1D",X"BD",
X"A0",X"A4",X"60",X"A5",X"F0",X"00",X"F0",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
379,6 → 343,39
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"BD",X"A1",X"A2",X"A3",X"A4",X"A5",X"A6",X"A7",
X"A8",X"A9",X"AA",X"AB",X"AC",X"AD",X"AE",X"AF",
X"B8",X"B9",X"BF",X"1A",X"5A",X"BA",X"00",X"BB",
X"00",X"BB",X"06",X"C4",X"00",X"C6",X"00",X"86",
X"F0",X"A5",X"A1",X"A2",X"A3",X"A4",X"A5",X"A6",
X"A7",X"A8",X"A9",X"AA",X"AB",X"AC",X"AD",X"AE",
X"AF",X"B8",X"B9",X"BF",X"BA",X"BB",X"00",X"60",
X"BB",X"00",X"60",X"BD",X"1B",X"40",X"9B",X"90",
X"91",X"92",X"93",X"94",X"95",X"96",X"97",X"9E",
X"9C",X"9D",X"9F",X"E0",X"02",X"90",X"91",X"92",
X"93",X"94",X"95",X"96",X"97",X"9E",X"9C",X"9D",
X"9F",X"00",X"E0",X"A2",X"04",X"BD",X"BF",X"F0",
X"84",X"04",X"F0",X"84",X"04",X"BF",X"84",X"F0",
X"BD",X"BD",X"80",X"00",X"03",X"F0",X"06",X"06",
X"00",X"60",X"A3",X"07",X"A2",X"48",X"00",X"00",
X"A7",X"00",X"A0",X"87",X"A0",X"03",X"62",X"00",
X"42",X"40",X"00",X"67",X"85",X"00",X"87",X"F0",
X"84",X"E0",X"BD",X"F0",X"00",X"E0",X"00",X"05",
X"A4",X"63",X"66",X"C0",X"02",X"67",X"43",X"00",
X"63",X"60",X"06",X"C7",X"A5",X"A4",X"63",X"66",
X"C0",X"67",X"67",X"43",X"00",X"63",X"60",X"06",
X"C7",X"F0",X"A5",X"03",X"62",X"00",X"42",X"40",
X"02",X"44",X"E0",X"00",X"03",X"62",X"00",X"42",
X"40",X"02",X"42",X"E0",X"02",X"85",X"00",X"A0",
X"06",X"03",X"07",X"A6",X"00",X"84",X"62",X"00",
X"42",X"40",X"02",X"45",X"85",X"00",X"A0",X"00",
X"E0",X"00",X"62",X"00",X"42",X"40",X"02",X"47",
X"85",X"F0",X"84",X"E0",X"00",X"80",X"00",X"05",
X"04",X"A3",X"00",X"63",X"60",X"00",X"A3",X"46",
X"03",X"67",X"E0",X"64",X"20",X"00",X"03",X"F0",
X"C6",X"46",X"E0",X"C0",X"6F",X"6C",X"69",X"20",
X"20",X"32",X"20",X"31",X"32",X"0A",X"63",X"65",
X"6F",X"20",X"2E",X"00",X"0A",X"6C",X"6F",X"21",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
586,47 → 583,16
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
signal bram1 : t_bram := (
X"00",X"00",X"60",X"00",X"00",X"BF",X"03",X"00",
X"00",X"00",X"00",X"00",X"00",X"BF",X"03",X"00",
X"00",X"00",X"04",X"00",X"00",X"68",X"00",X"00",
X"00",X"7F",X"00",X"00",X"00",X"04",X"00",X"03",
X"00",X"18",X"FF",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"50",X"FF",X"04",X"00",X"00",X"00",X"00",
X"00",X"00",X"BF",X"03",X"00",X"00",X"FF",X"E8",
X"FF",X"00",X"00",X"00",X"BF",X"03",X"00",X"00",
X"00",X"01",X"00",X"08",X"FF",X"00",X"00",X"00",
X"BF",X"01",X"00",X"00",X"00",X"FF",X"00",X"FF",
X"00",X"BF",X"04",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"F8",X"00",X"BF",X"04",X"00",X"00",
X"B0",X"00",X"F8",X"00",X"BF",X"04",X"00",X"00",
X"00",X"00",X"00",X"FF",X"BF",X"03",X"BF",X"02",
X"F8",X"00",X"00",X"00",X"00",X"00",X"78",X"70",
X"00",X"FF",X"20",X"00",X"00",X"00",X"BF",X"04",
X"00",X"00",X"00",X"00",X"00",X"00",X"BF",X"03",
X"00",X"00",X"FF",X"FF",X"00",X"BF",X"03",X"00",
X"00",X"FF",X"FF",X"00",X"BF",X"04",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"60",X"00",X"00",
X"00",X"00",X"08",X"FF",X"00",X"60",X"00",X"20",
X"00",X"60",X"20",X"00",X"00",X"00",X"00",X"00",
X"FF",X"00",X"00",X"FF",X"00",X"00",X"00",X"20",
X"BF",X"03",X"FF",X"28",X"28",X"10",X"00",X"90",
X"00",X"00",X"00",X"FF",X"00",X"00",X"FF",X"FF",
X"00",X"00",X"32",X"36",X"61",X"65",X"53",X"6E",
X"20",X"65",X"6C",X"6D",X"20",X"30",X"6F",X"20",
X"4D",X"70",X"20",X"20",X"20",X"78",X"00",X"68",
X"6D",X"6F",X"0D",X"64",X"61",X"63",X"0D",X"44",
X"69",X"74",X"66",X"74",X"77",X"72",X"6F",X"4C",
X"20",X"61",X"65",X"30",X"0D",X"74",X"20",X"65",
X"65",X"69",X"66",X"20",X"4D",X"20",X"20",X"20",
X"20",X"73",X"74",X"72",X"0A",X"0D",X"6E",X"66",
X"73",X"0D",X"44",X"20",X"66",X"74",X"77",X"72",
X"6F",X"52",X"61",X"72",X"69",X"6C",X"74",X"3A",
X"3A",X"65",X"6F",X"75",X"00",X"65",X"6E",X"78",
X"74",X"20",X"6D",X"62",X"73",X"69",X"65",X"79",
X"4C",X"29",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
635,12 → 601,39
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"70",X"FF",X"00",X"D8",X"00",
X"D8",X"00",X"20",X"00",X"00",X"00",X"00",X"20",
X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"60",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"BF",X"FF",X"00",X"01",
X"05",X"BF",X"01",X"05",X"BF",X"00",X"05",X"01",
X"00",X"FF",X"28",X"10",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"20",X"00",X"10",X"28",
X"00",X"28",X"FF",X"00",X"28",X"20",X"00",X"00",
X"00",X"FF",X"00",X"00",X"00",X"00",X"FF",X"00",
X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"18",X"00",X"00",X"00",X"20",X"00",X"00",X"00",
X"00",X"FF",X"20",X"00",X"FF",X"18",X"00",X"00",
X"FF",X"00",X"00",X"00",X"00",X"00",X"FF",X"20",
X"00",X"00",X"FF",X"20",X"00",X"00",X"00",X"FF",
X"20",X"00",X"00",X"00",X"20",X"00",X"00",X"00",
X"FF",X"20",X"00",X"00",X"16",X"00",X"00",X"00",
X"00",X"20",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"FF",X"20",X"00",X"00",X"00",X"FF",X"00",
X"00",X"10",X"00",X"00",X"00",X"FF",X"20",X"00",
X"00",X"01",X"00",X"00",X"00",X"10",X"30",X"20",
X"80",X"00",X"00",X"00",X"FF",X"00",X"00",X"40",
X"1E",X"00",X"00",X"48",X"00",X"00",X"00",X"01",
X"00",X"30",X"00",X"00",X"6D",X"65",X"6D",X"4A",
X"20",X"30",X"2D",X"35",X"3A",X"00",X"63",X"72",
X"6E",X"34",X"32",X"00",X"48",X"6F",X"72",X"0A",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
844,47 → 837,18
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
signal bram0 : t_bram := (
X"01",X"00",X"00",X"9C",X"00",X"C0",X"38",X"AA",
X"00",X"00",X"08",X"B7",X"00",X"C0",X"78",X"AA",
X"00",X"04",X"00",X"01",X"00",X"21",X"00",X"00",
X"01",X"00",X"00",X"00",X"00",X"01",X"00",X"00",
X"00",X"00",X"00",X"0A",X"00",X"00",X"00",X"08",
X"00",X"22",X"F7",X"00",X"05",X"00",X"03",X"00",
X"01",X"00",X"C0",X"59",X"AA",X"00",X"FC",X"21",
X"F0",X"08",X"B7",X"00",X"C0",X"78",X"AA",X"00",
X"00",X"40",X"00",X"2A",X"FD",X"04",X"40",X"00",
X"C0",X"A8",X"00",X"00",X"00",X"FC",X"04",X"FA",
X"04",X"C0",X"2A",X"AA",X"00",X"00",X"76",X"14",
X"00",X"00",X"09",X"00",X"C0",X"73",X"AA",X"00",
X"00",X"00",X"09",X"00",X"C0",X"15",X"AA",X"00",
X"68",X"00",X"00",X"FC",X"C0",X"D1",X"C0",X"A8",
X"09",X"00",X"04",X"08",X"04",X"00",X"21",X"21",
X"00",X"FC",X"21",X"08",X"B7",X"00",X"C0",X"62",
X"AA",X"00",X"04",X"00",X"B7",X"08",X"C0",X"7B",
X"AA",X"04",X"FF",X"F7",X"00",X"C0",X"78",X"AA",
X"00",X"FF",X"E7",X"00",X"C0",X"65",X"AA",X"04",
X"00",X"04",X"08",X"04",X"01",X"00",X"00",X"00",
X"FF",X"00",X"2A",X"FD",X"01",X"00",X"02",X"25",
X"08",X"00",X"00",X"00",X"08",X"01",X"20",X"02",
X"FD",X"00",X"00",X"F7",X"00",X"08",X"00",X"00",
X"C0",X"28",X"FF",X"20",X"20",X"06",X"0F",X"21",
X"00",X"20",X"02",X"FD",X"00",X"00",X"F6",X"FC",
X"08",X"00",X"33",X"37",X"62",X"66",X"63",X"69",
X"65",X"72",X"20",X"6F",X"61",X"78",X"75",X"58",
X"20",X"20",X"20",X"20",X"20",X"00",X"20",X"69",
X"69",X"72",X"00",X"20",X"64",X"6B",X"00",X"75",
X"6E",X"68",X"69",X"20",X"20",X"64",X"66",X"41",
X"61",X"64",X"73",X"78",X"54",X"69",X"63",X"20",
X"63",X"6F",X"72",X"53",X"2E",X"20",X"79",X"73",
X"74",X"2C",X"20",X"6B",X"0D",X"0A",X"64",X"20",
X"74",X"0A",X"75",X"6F",X"69",X"20",X"20",X"64",
X"66",X"41",X"66",X"20",X"74",X"69",X"69",X"0A",
X"20",X"6E",X"66",X"6D",X"0A",X"73",X"67",X"65",
X"69",X"66",X"20",X"69",X"74",X"63",X"6D",X"20",
X"41",X"0A",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"F0",X"00",X"00",X"00",X"00",X"00",X"E8",
X"00",X"2A",X"FD",X"04",X"BC",X"00",X"0E",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
895,6 → 859,39
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"98",X"10",X"14",X"18",X"1C",X"20",X"24",X"28",
X"2C",X"30",X"34",X"38",X"3C",X"40",X"44",X"48",
X"4C",X"50",X"54",X"00",X"FC",X"58",X"10",X"5C",
X"12",X"60",X"00",X"20",X"00",X"10",X"00",X"24",
X"3B",X"00",X"10",X"14",X"18",X"1C",X"20",X"24",
X"28",X"2C",X"30",X"34",X"38",X"3C",X"40",X"44",
X"48",X"4C",X"50",X"54",X"58",X"5C",X"00",X"11",
X"60",X"00",X"13",X"68",X"01",X"08",X"00",X"00",
X"04",X"08",X"0C",X"10",X"14",X"18",X"1C",X"20",
X"24",X"28",X"2C",X"08",X"00",X"00",X"04",X"08",
X"0C",X"10",X"14",X"18",X"1C",X"20",X"24",X"28",
X"2C",X"00",X"08",X"00",X"C0",X"E8",X"14",X"1D",
X"50",X"C0",X"1D",X"78",X"C0",X"14",X"90",X"1D",
X"18",X"E0",X"21",X"21",X"0A",X"D1",X"20",X"0C",
X"00",X"02",X"1B",X"0D",X"21",X"01",X"21",X"10",
X"30",X"12",X"F4",X"00",X"21",X"00",X"20",X"00",
X"02",X"FC",X"00",X"00",X"04",X"00",X"FF",X"DE",
X"FF",X"08",X"20",X"C9",X"00",X"08",X"00",X"1C",
X"06",X"0F",X"0A",X"0E",X"00",X"30",X"20",X"00",
X"02",X"FC",X"00",X"00",X"FC",X"06",X"0F",X"0A",
X"F5",X"30",X"57",X"20",X"00",X"02",X"FC",X"00",
X"00",X"FD",X"FC",X"00",X"20",X"00",X"02",X"FC",
X"00",X"00",X"08",X"00",X"00",X"20",X"00",X"01",
X"FC",X"00",X"00",X"08",X"02",X"00",X"00",X"10",
X"0A",X"00",X"0D",X"0E",X"00",X"01",X"20",X"00",
X"02",X"FC",X"00",X"00",X"00",X"00",X"F4",X"00",
X"08",X"21",X"20",X"00",X"02",X"FC",X"00",X"00",
X"00",X"26",X"01",X"08",X"00",X"21",X"21",X"00",
X"24",X"20",X"00",X"01",X"FC",X"00",X"00",X"21",
X"02",X"0E",X"03",X"04",X"04",X"00",X"00",X"41",
X"01",X"21",X"08",X"00",X"70",X"20",X"65",X"75",
X"31",X"31",X"2D",X"3A",X"33",X"00",X"20",X"73",
X"3A",X"2E",X"0A",X"00",X"65",X"20",X"6C",X"0A",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
1102,6 → 1099,9
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
 
1633,7 → 1633,7
-- We'll simulate a 16-bit-wide static PROM (e.g. a Flash) with some serious
-- cycle time (70 or 90 ns).
 
constant PROM_SIZE : integer := 1024;
constant PROM_SIZE : integer := 32;
constant PROM_ADDR_SIZE : integer := log2(PROM_SIZE);
 
subtype t_prom_address is std_logic_vector(PROM_ADDR_SIZE-1 downto 0);
1645,35 → 1645,6
 
-- bram0 is LSB, bram3 is MSB
signal prom : t_prom := (
X"3C04B000",X"24840154",X"0C000031",X"00000000",
X"3C04B000",X"2484017D",X"0C000031",X"00000000",
X"24080000",X"24090100",X"3C0A1234",X"354A5678",
X"AD0A0000",X"21080004",X"214A0333",X"1D20FFFC",
X"2129FFFF",X"24080000",X"24090100",X"3C0A1234",
X"354A5678",X"3C0B1234",X"356B5678",X"8D0B0000",
X"154B000A",X"21080004",X"214A0333",X"1D20FFFB",
X"2129FFFF",X"3C04B000",X"248401BA",X"0C000031",
X"00000000",X"08000027",X"00000000",X"3C04B000",
X"248401BD",X"0C000031",X"00000000",X"3C04B000",
X"248401C2",X"0C000031",X"00000000",X"3C04B000",
X"2484019B",X"0C000031",X"00000000",X"0800002F",
X"00000000",X"3C062000",X"80820000",X"10400008",
X"24840001",X"8CC30020",X"30630002",X"1060FFFD",
X"00000000",X"ACC20000",X"1000FFF7",X"00000000",
X"03E00008",X"00000000",X"3C062000",X"3C07B000",
X"24E70144",X"20A5FFFF",X"00A52820",X"00A52820",
X"00A41006",X"3042000F",X"00E29021",X"82420000",
X"8CC30020",X"30630002",X"1060FFFD",X"00000000",
X"ACC20000",X"14A0FFF6",X"20A5FFFC",X"03E00008",
X"00000000",X"30313233",X"34353637",X"38396162",
X"63646566",X"0A0D4E6F",X"77207275",X"6E6E696E",
X"67206672",X"6F6D2038",X"2D626974",X"20737461",
X"74696320",X"6D656D6F",X"72790A0D",X"00546573",
X"74696E67",X"2031362D",X"62697420",X"73746174",
X"69632052",X"2F572E2E",X"2E200045",X"6E64206F",
X"66207465",X"73742C20",X"70726F67",X"72616D20",
X"66726F7A",X"656E2E0A",X"0D004F4B",X"00464149",
X"4C000A0D",X"00202000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
1681,225 → 1652,6
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000",
X"00000000",X"00000000",X"00000000",X"00000000"
);
 
2028,6 → 1780,7
mem_wait => cpu_mem_wait,
cache_enable => cpu_cache_enable,
ic_invalidate => cpu_ic_invalidate,
unmapped => OPEN,
-- interface to FPGA i/o devices
io_rd_data => io_rd_data,
2220,7 → 1973,7
begin
log_cpu_activity(clk, reset, done,
"mips_tb2/cpu", log_info, "log_info",
X"BFC00000", log_file);
X"FFFFFFFF", log_file);
wait;
end process log_execution;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.