OpenCores
URL https://opencores.org/ocsvn/hdlc/hdlc/trunk

Subversion Repositories hdlc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 14 to Rev 15
    Reverse comparison

Rev 14 → Rev 15

/trunk/CODE/TX/core/TxChannel.vhd
86,7 → 86,7
port map (
TxClk => TxClk,
rst_n => rst_n,
enable => enable_i,
enable => TXEN,
BackendEnable => BackendEnable_i,
abortedTrans => abortedTrans_i,
inProgress => inProgress_i,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.