OpenCores
URL https://opencores.org/ocsvn/ps2/ps2/trunk

Subversion Repositories ps2

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 14 to Rev 15
    Reverse comparison

Rev 14 → Rev 15

/trunk/bench/verilog/ps2_sim_top.v
43,6 → 43,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.2 2002/04/09 13:16:04 mihad
// Mouse interface added
//
// Revision 1.1.1.1 2002/02/18 16:16:55 mihad
// Initial project import - working
//
84,7 → 87,7
 
input [3:0] wb_sel_i ;
 
input [31:0] wb_adr_i,
input [2:0] wb_adr_i,
wb_dat_i ;
 
output [31:0] wb_dat_o ;
/trunk/bench/verilog/ps2_test_bench.v
43,6 → 43,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.5 2002/04/09 13:17:03 mihad
// Mouse interface testcases added
//
// Revision 1.4 2002/02/20 16:35:34 mihad
// Little/big endian changes continued
//
267,7 → 270,7
.wb_stb_i (wb_stb),
.wb_we_i (wb_we),
.wb_sel_i (wb_sel),
.wb_adr_i (wb_adr),
.wb_adr_i (wb_adr[2:0]),
.wb_dat_i (wb_dat_m_s),
.wb_dat_o (wb_dat_s_m),
.wb_ack_o (wb_ack),
/trunk/rtl/verilog/ps2_top.v
43,6 → 43,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.2 2002/04/09 13:21:15 mihad
// Added mouse interface and everything for its handling, cleaned up some unused code
//
// Revision 1.1.1.1 2002/02/18 16:16:56 mihad
// Initial project import - working
//
95,7 → 98,7
 
input [3:0] wb_sel_i ;
 
input [31:0]wb_adr_i,
input [2:0] wb_adr_i,
wb_dat_i ;
 
output [31:0] wb_dat_o ;
/trunk/rtl/verilog/ps2_wb_if.v
43,6 → 43,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.5 2002/04/09 13:24:11 mihad
// Added mouse interface and everything for its handling, cleaned up some unused code
//
// Revision 1.4 2002/02/20 16:35:43 mihad
// Little/big endian changes continued
//
108,7 → 111,7
 
input [3:0] wb_sel_i ;
 
input [31:0] wb_adr_i ;
input [2:0] wb_adr_i ;
 
input [31:0] wb_dat_i ;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.