OpenCores
URL https://opencores.org/ocsvn/395_vgs/395_vgs/trunk

Subversion Repositories 395_vgs

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 15 to Rev 16
    Reverse comparison

Rev 15 → Rev 16

/trunk/hdl/fill-unit.vhd
87,24 → 87,35
signal pixeldata, output : std_logic_vector(15 downto 0); -- broken down to 2 8 bit pixels
signal currentbuffer, write, start : std_logic;
signal address : std_logic_vector(22 downto 0);
 
signal counter : std_logic_vector(11 downto 0);
begin
hDIn1 <= output;
hAddr1 <= address;
wr1 <= '1';
start <= '1';
output <= pixeldata;
 
process (clk)
begin
 
process (clk, reset)
begin
if rising_edge(clk) then
if address = "0000001001011000000000" then
address <= "00000000000000000000000";
output <= "1111111111111111";
counter <= counter + 1;
elsif done1 = '1' then
address <= address + 1;
end if;
end if;
end process;
end process;
screendivide: process (counter)
begin
if (counter = "100000000000") then
pixeldata <= pixeldata + "0000010000000100";
else
pixeldata <= pixeldata;
end if;
end process;
 
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.