URL
https://opencores.org/ocsvn/wb_dma/wb_dma/trunk
Subversion Repositories wb_dma
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 15 to Rev 16
- ↔ Reverse comparison
Rev 15 → Rev 16
/trunk/sim/rtl_sim/bin/Makefile
1,6 → 1,5
|
all: sim |
|
SHELL = /bin/sh |
MS=-s |
|
40,9 → 39,13
# |
########################################################################## |
|
INCDIR="-INCDIR ./$(DUT_SRC_DIR)/ -INCDIR ./$(TB_SRC_DIR)/" |
LOGF=-LOGFILE .nclog |
NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT |
#INCDIR="-INCDIR ./$(DUT_SRC_DIR)/ -INCDIR ./$(TB_SRC_DIR)/" |
#LOGF=-LOGFILE .nclog |
#NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT |
|
INCDIR=+incdir+./$(DUT_SRC_DIR)/ +incdir+./$(TB_SRC_DIR)/ |
LOGF=-l .nclog |
|
UMC_LIB=/tools/dc_libraries/virtual_silicon/umc_lib.v |
GATE_NETLIST = ../../../syn/out/wb_dma_top_ps.v |
|
60,44 → 63,20
$(_TARGETS_) $(_TB_) |
|
simw: |
@$(MAKE) $(MS) sim ACCESS="-ACCESS +r" WAVES="-DEFINE WAVES" |
@$(MAKE) -s sim ACCESS="+access+r " WAVES="+define+WAVES" |
|
sim: |
@echo "" |
@echo "----- Running NCVLOG ... ----------" |
@$(MAKE) $(MS) vlog \ |
TARGETS="$(_TARGETS_)" \ |
TB="$(_TB_)" \ |
INCDIR=$(INCDIR) \ |
WAVES="$(WAVES)" |
@echo "" |
@echo "----- Running NCELAB ... ----------" |
@$(MAKE) $(MS) elab \ |
ACCESS="$(ACCESS)" TOP=$(_TOP_) |
@echo "" |
@echo "----- Running NCSIM ... ----------" |
@$(MAKE) $(MS) ncsim TOP=$(_TOP_) |
@echo "" |
ncverilog -q +define+RUDIS_TB $(_TARGETS_) $(_TB_) \ |
$(INCDIR) $(WAVES) $(ACCESS) $(LOGF) +ncstatus \ |
+ncuid+`hostname` |
|
gatew: |
@$(MAKE) -s gate ACCESS="-ACCESS +r " WAVES="-DEFINE WAVES" |
@$(MAKE) -s gate ACCESS="+access+r" WAVES="+define+WAVES" |
|
gate: |
@echo "" |
@echo "----- Running NCVLOG ... ----------" |
@$(MAKE) $(MS) vlog \ |
TARGETS="$(UMC_LIB) $(GATE_NETLIST)" \ |
TB="$(_TB_)" \ |
INCDIR=$(INCDIR) \ |
WAVES="$(WAVES)" |
@echo "" |
@echo "----- Running NCELAB ... ----------" |
@$(MAKE) $(MS) elab \ |
ACCESS="$(ACCESS)" TOP=$(_TOP_) |
@echo "" |
@echo "----- Running NCSIM ... ----------" |
@$(MAKE) $(MS) ncsim TOP=$(_TOP_) |
@echo "" |
ncverilog -q +define+RUDIS_TB $(_TB_) $(UMC_LIB) \ |
$(GATE_NETLIST) $(INCDIR) $(WAVES) $(ACCESS) \ |
$(LOGF) +ncstatus +ncuid+`hostname` |
|
hal: |
@echo "" |
107,45 → 86,8
@echo "----- DONE ... ----------" |
|
clean: |
rm -rf ./waves/*.dsn ./waves/*.trn \ |
ncwork/inc* ncwork/.inc* \ |
./verilog.* .nclog hal.log |
rm -rf ./waves/*.dsn ./waves/*.trn \ |
ncwork/inc* ncwork/.inc* ncverilog.key \ |
./verilog.* .nclog hal.log INCA_libs |
|
########################################################################## |
# |
# NCVLOG |
# |
########################################################################## |
|
vhdl: |
ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG \ |
-WORK count -V93 hdl/counter.vhd |
ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG \ |
-WORK work -V93 $(TARGETS) |
|
vlog: |
ncvlog $(NCCOMMON) $(LOGF) \ |
-WORK work $(WAVES) $(TB) $(TARGETS) $(INCDIR) |
|
########################################################################## |
# |
# NCELAB |
# |
########################################################################## |
|
elab: |
ncelab $(NCCOMMON) $(LOGF) -APPEND_LOG \ |
-WORK work $(ACCESS) -NOTIMINGCHECKS \ |
work.$(TOP) |
|
########################################################################## |
# |
# NCSIM |
# |
########################################################################## |
|
ncsim: |
ncsim $(NCCOMMON) $(LOGF) -APPEND_LOG \ |
-EXIT -ERRORMAX 10 work.$(TOP) |
|
|