OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 153 to Rev 154
    Reverse comparison

Rev 153 → Rev 154

/open8_urisc/trunk/VHDL/Open8.vhd
507,7 → 507,7
end if;
end process;
 
Program_Counter: process( Reset, Clock, PC_Ctrl )
Program_Counter: process( Reset, Clock, Halt, PC_Ctrl, PC_Q, Rewind_1_2n )
variable PC_Offset_SX : ADDRESS_TYPE := x"0000";
begin
PC_Offset_SX(15 downto 8):= (others => PC_Ctrl.Offset(7));
1241,9 → 1241,6
CPU_Next_State <= ISR_C2;
AS_Ctrl.Src <= ADDR_ISR;
INT_Ctrl.Incr_ISR <= '1';
-- PC_Ctrl.Oper <= PC_INCR;
-- Rewind the PC by 3 to compensate for the pipeline registers
-- PC_Ctrl.Offset <= x"FF";
 
when ISR_C2 =>
CPU_Next_State <= ISR_C3;
1335,6 → 1332,7
ALU_Ctrl.Oper <= ALU_IDLE;
Cache_Ctrl <= CACHE_IDLE;
SP_Ctrl.Oper <= SP_IDLE;
DP_Ctrl.Src <= DATA_IDLE; -- JSH 7/20
-- Rewind the PC by 3 to compensate for the pipeline registers
PC_Ctrl.Oper <= PC_INCR;
PC_Ctrl.Offset <= x"FF";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.