OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 156 to Rev 157
    Reverse comparison

Rev 156 → Rev 157

/funbase_ip_library/trunk/Altera/ip.hwp.cpu/nios_ii_sdram/1.0/nios_ii_sdram.1.0.xml
8,6 → 8,7
<spirit:library>ip.hwp.cpu</spirit:library>
<spirit:name>nios_ii_sdram</spirit:name>
<spirit:version>1.0</spirit:version>
<spirit:description>Nios2 SDRAM subsystem.</spirit:description>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>clk</spirit:name>
313,7 → 314,9
<spirit:name>hibi_slave</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:slave/>
<spirit:slave>
<spirit:memoryMapRef spirit:memoryMapRef="hibi_mem_map"/>
</spirit:slave>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
403,12 → 406,118
</spirit:busInterfaces>
<spirit:addressSpaces>
<spirit:addressSpace>
<spirit:name>instr_mem</spirit:name>
<spirit:range>64K</spirit:range>
<spirit:width>0</spirit:width>
<spirit:name>avalon_addr_space</spirit:name>
<spirit:description>Avalon address space. (local)</spirit:description>
<spirit:range>4G</spirit:range>
<spirit:width>32</spirit:width>
<spirit:segments>
<spirit:segment>
<spirit:name>.bss</spirit:name>
<spirit:addressOffset>0x00800000</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.exceptions</spirit:name>
<spirit:addressOffset>0x00800200</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.entry</spirit:name>
<spirit:addressOffset>0x00800400</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.stack</spirit:name>
<spirit:addressOffset>0x00800600</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.heap</spirit:name>
<spirit:addressOffset>0x00800800</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.rwdata</spirit:name>
<spirit:addressOffset>0x00800A00</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.text</spirit:name>
<spirit:addressOffset>0x00800C00</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.rodata</spirit:name>
<spirit:addressOffset>0x00800E00</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>Shared_memory</spirit:name>
<spirit:addressOffset>0x1000000</spirit:addressOffset>
<spirit:range>4K</spirit:range>
</spirit:segment>
</spirit:segments>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
<spirit:localMemoryMap>
<spirit:name>avalon_addr_space</spirit:name>
<spirit:addressBlock>
<spirit:name>HIBI_PE_DMA</spirit:name>
<spirit:baseAddress>0x0</spirit:baseAddress>
<spirit:range>4</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>reserved</spirit:usage>
</spirit:addressBlock>
<spirit:addressBlock>
<spirit:name>JTAG_UART</spirit:name>
<spirit:baseAddress>0x4</spirit:baseAddress>
<spirit:range>4</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>reserved</spirit:usage>
</spirit:addressBlock>
<spirit:addressBlock>
<spirit:name>TIMER</spirit:name>
<spirit:baseAddress>0x8</spirit:baseAddress>
<spirit:range>4</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>reserved</spirit:usage>
</spirit:addressBlock>
<spirit:addressBlock>
<spirit:name>SYSID</spirit:name>
<spirit:baseAddress>0x10</spirit:baseAddress>
<spirit:range>4</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>reserved</spirit:usage>
</spirit:addressBlock>
<spirit:addressBlock>
<spirit:name>ONCHIP_MEM</spirit:name>
<spirit:baseAddress>0x1000000</spirit:baseAddress>
<spirit:range>4K</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>memory</spirit:usage>
</spirit:addressBlock>
<spirit:addressBlock>
<spirit:name>SDRAM</spirit:name>
<spirit:baseAddress>0x00800000</spirit:baseAddress>
<spirit:range>8M</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>memory</spirit:usage>
</spirit:addressBlock>
</spirit:localMemoryMap>
</spirit:addressSpace>
<spirit:addressSpace>
<spirit:name>hibi_addr_space</spirit:name>
<spirit:description>HIBI address space</spirit:description>
<spirit:range>4G</spirit:range>
<spirit:width>32</spirit:width>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
</spirit:addressSpace>
</spirit:addressSpaces>
<spirit:memoryMaps>
<spirit:memoryMap>
<spirit:name>hibi_mem_map</spirit:name>
<spirit:addressUnitBits>32</spirit:addressUnitBits>
</spirit:memoryMap>
</spirit:memoryMaps>
<spirit:model>
<spirit:views>
<spirit:view>
613,72 → 722,72
<spirit:fileSet>
<spirit:name>hdlSources</spirit:name>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/cpu_1.v</spirit:name>
<spirit:name>../hdl/cpu_1.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/cpu_1_jtag_debug_module_sysclk.v</spirit:name>
<spirit:name>../hdl/cpu_1_jtag_debug_module_sysclk.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/cpu_1_jtag_debug_module_tck.v</spirit:name>
<spirit:name>../hdl/cpu_1_jtag_debug_module_tck.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/cpu_1_jtag_debug_module_wrapper.v</spirit:name>
<spirit:name>../hdl/cpu_1_jtag_debug_module_wrapper.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/cpu_1_mult_cell.v</spirit:name>
<spirit:name>../hdl/cpu_1_mult_cell.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/hibi_pe_dma_1.vhd</spirit:name>
<spirit:fileType>vhdlSource</spirit:fileType>
<spirit:name>../hdl/cpu_1_oci_test_bench.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/jtag_uart_1.v</spirit:name>
<spirit:name>../hdl/cpu_1_test_bench.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/nios_ii_sdram.qip</spirit:name>
<spirit:userFileType>quartusIPFile</spirit:userFileType>
<spirit:name>../hdl/hibi_pe_dma_1.vhd</spirit:name>
<spirit:fileType>vhdlSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/nios_ii_sdram.v</spirit:name>
<spirit:name>../hdl/jtag_uart_1.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/onchip_memory_1.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:name>../hdl/nios_ii_sdram.qip</spirit:name>
<spirit:userFileType>quartusIPFile</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/sdram_1.v</spirit:name>
<spirit:name>../hdl/nios_ii_sdram.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/timer_1.v</spirit:name>
<spirit:name>../hdl/onchip_memory_1.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/cpu_1_oci_test_bench.v</spirit:name>
<spirit:name>../hdl/sdram_1.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
<spirit:file>
<spirit:name>D:/user/matilail/funbase/repos/daci_ip/trunk/ip.hwp.cpu/nios_ii_sdram/1.0/../hdl/cpu_1_test_bench.v</spirit:name>
<spirit:name>../hdl/timer_1.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
687,7 → 796,7
<spirit:cpus>
<spirit:cpu>
<spirit:name>nios2</spirit:name>
<spirit:addressSpaceRef spirit:addressSpaceRef="instr_mem"/>
<spirit:addressSpaceRef spirit:addressSpaceRef="avalon_addr_space"/>
</spirit:cpu>
</spirit:cpus>
<spirit:vendorExtensions>
/funbase_ip_library/trunk/Altera/ip.hwp.cpu/nios_ii_sram/1.0/nios_ii_sram.1.0.xml
8,6 → 8,7
<spirit:library>ip.hwp.cpu</spirit:library>
<spirit:name>nios_ii_sram</spirit:name>
<spirit:version>1.0</spirit:version>
<spirit:description>Nios2 SRAM subsystem</spirit:description>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>clk</spirit:name>
40,7 → 41,9
<spirit:name>hibi_master</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:master/>
<spirit:master>
<spirit:addressSpaceRef spirit:addressSpaceRef="hibi_addr_space"/>
</spirit:master>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
281,7 → 284,9
<spirit:name>hibi_slave</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:slave/>
<spirit:slave>
<spirit:memoryMapRef spirit:memoryMapRef="hibi_mem_map"/>
</spirit:slave>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
371,12 → 376,118
</spirit:busInterfaces>
<spirit:addressSpaces>
<spirit:addressSpace>
<spirit:name>instr_mem</spirit:name>
<spirit:range>64K</spirit:range>
<spirit:name>avalon_addr_space</spirit:name>
<spirit:description>Avalon address space. (local)</spirit:description>
<spirit:range>4G</spirit:range>
<spirit:width>32</spirit:width>
<spirit:segments>
<spirit:segment>
<spirit:name>.bss</spirit:name>
<spirit:addressOffset>0x00800000</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.entry</spirit:name>
<spirit:addressOffset>0x00800400</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.exceptions</spirit:name>
<spirit:addressOffset>0x00800200</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.heap</spirit:name>
<spirit:addressOffset>0x00800800</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.rodata</spirit:name>
<spirit:addressOffset>0x00800E00</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.rwdata</spirit:name>
<spirit:addressOffset>0x00800A00</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.stack</spirit:name>
<spirit:addressOffset>0x00800600</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>.text</spirit:name>
<spirit:addressOffset>0x00800C00</spirit:addressOffset>
<spirit:range>512</spirit:range>
</spirit:segment>
<spirit:segment>
<spirit:name>Shared_memory</spirit:name>
<spirit:addressOffset>0x1000000</spirit:addressOffset>
<spirit:range>4K</spirit:range>
</spirit:segment>
</spirit:segments>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
<spirit:localMemoryMap>
<spirit:name>avalon_addr_space</spirit:name>
<spirit:addressBlock>
<spirit:name>HIBI_PE_DMA</spirit:name>
<spirit:baseAddress>0x0</spirit:baseAddress>
<spirit:range>4</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>reserved</spirit:usage>
</spirit:addressBlock>
<spirit:addressBlock>
<spirit:name>JTAG_UART</spirit:name>
<spirit:baseAddress>0x4</spirit:baseAddress>
<spirit:range>4</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>reserved</spirit:usage>
</spirit:addressBlock>
<spirit:addressBlock>
<spirit:name>TIMER</spirit:name>
<spirit:baseAddress>0x8</spirit:baseAddress>
<spirit:range>4</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>reserved</spirit:usage>
</spirit:addressBlock>
<spirit:addressBlock>
<spirit:name>SRAM</spirit:name>
<spirit:baseAddress>0x00800000</spirit:baseAddress>
<spirit:range>8M</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>memory</spirit:usage>
</spirit:addressBlock>
<spirit:addressBlock>
<spirit:name>SYSID</spirit:name>
<spirit:baseAddress>0x10</spirit:baseAddress>
<spirit:range>4</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>reserved</spirit:usage>
</spirit:addressBlock>
<spirit:addressBlock>
<spirit:name>ONCHIP_MEM</spirit:name>
<spirit:baseAddress>0x1000000</spirit:baseAddress>
<spirit:range>4K</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>memory</spirit:usage>
</spirit:addressBlock>
</spirit:localMemoryMap>
</spirit:addressSpace>
<spirit:addressSpace>
<spirit:name>hibi_addr_space</spirit:name>
<spirit:description>HIBI address space</spirit:description>
<spirit:range>4G</spirit:range>
<spirit:width>32</spirit:width>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
</spirit:addressSpace>
</spirit:addressSpaces>
<spirit:memoryMaps>
<spirit:memoryMap>
<spirit:name>hibi_mem_map</spirit:name>
<spirit:addressUnitBits>32</spirit:addressUnitBits>
</spirit:memoryMap>
</spirit:memoryMaps>
<spirit:model>
<spirit:views>
<spirit:view>
669,7 → 780,7
<spirit:cpus>
<spirit:cpu>
<spirit:name>nios2</spirit:name>
<spirit:addressSpaceRef spirit:addressSpaceRef="instr_mem"/>
<spirit:addressSpaceRef spirit:addressSpaceRef="avalon_addr_space"/>
</spirit:cpu>
</spirit:cpus>
<spirit:vendorExtensions>
/funbase_ip_library/trunk/TUT/product/samos_2012/1.0/samos_2012.design.1.0.xml
26,13 → 26,13
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>PC</spirit:instanceName>
<spirit:instanceName>xilinx_spartan_3e</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="board" spirit:name="PC" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="board" spirit:name="xilinx_spartan_3e" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="150"/>
<kactus2:position x="130" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="eth">
<kactus2:position x="80" y="40"/>
42,13 → 42,13
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>xilinx_spartan_3e</spirit:instanceName>
<spirit:instanceName>PC</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="board" spirit:name="xilinx_spartan_3e" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="board" spirit:name="PC" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="60"/>
<kactus2:position x="130" y="160"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="eth">
<kactus2:position x="80" y="40"/>
60,17 → 60,17
</spirit:componentInstances>
<spirit:interconnections>
<spirit:interconnection>
<spirit:name>xilinx_spartan_3e_0_eth_to_altera_de2_board_0_eth_ext</spirit:name>
<spirit:name>PC_eth_to_altera_de2_board_eth_ext</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="xilinx_spartan_3e" spirit:busRef="eth"/>
<spirit:activeInterface spirit:componentRef="PC" spirit:busRef="eth"/>
<spirit:activeInterface spirit:componentRef="altera_de2_board" spirit:busRef="eth_ext"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>PC_eth_to_altera_de2_board_eth_ext</spirit:name>
<spirit:name>xilinx_spartan_3e_0_eth_to_altera_de2_board_0_eth_ext</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="PC" spirit:busRef="eth"/>
<spirit:activeInterface spirit:componentRef="xilinx_spartan_3e" spirit:busRef="eth"/>
<spirit:activeInterface spirit:componentRef="altera_de2_board" spirit:busRef="eth_ext"/>
</spirit:interconnection>
</spirit:interconnections>
80,16 → 80,16
<kactus2:column name="Components" contentType="2" allowedItems="2" minWidth="259" width="259"/>
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="PC_eth_to_altera_de2_board_eth_ext" kactus2:offPage="false">
<kactus2:position x="210" y="200"/>
<kactus2:position x="290" y="200"/>
<kactus2:position x="290" y="100"/>
<kactus2:position x="310" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="xilinx_spartan_3e_0_eth_to_altera_de2_board_0_eth_ext" kactus2:offPage="false">
<kactus2:position x="210" y="100"/>
<kactus2:position x="310" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="PC_eth_to_altera_de2_board_eth_ext" kactus2:offPage="false">
<kactus2:position x="210" y="190"/>
<kactus2:position x="290" y="190"/>
<kactus2:position x="290" y="100"/>
<kactus2:position x="310" y="100"/>
</kactus2:route>
</kactus2:routes>
</spirit:vendorExtensions>
</spirit:design>
/funbase_ip_library/trunk/TUT/product/samos_2012/1.0/samos_2012.pc_only_mjpeg.design.1.0.xml
10,38 → 10,38
<spirit:version>1.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_0</spirit:instanceName>
<spirit:instanceName>de2_samos_soc_dct_to_hibi_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="Xilinx" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="60"/>
<kactus2:imported kactus2:importRef="xilinx_spartan_xc3S500e_ublaze_0"/>
<kactus2:position x="480" y="230"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_dct_to_hibi_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_dct_to_hibi_0</spirit:instanceName>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Xilinx" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="220"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_dct_to_hibi_0"/>
<kactus2:position x="480" y="60"/>
<kactus2:imported kactus2:importRef="xilinx_spartan_xc3S500e_ublaze_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0</spirit:instanceName>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="650"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="160" y="500"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sdram_1"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
52,20 → 52,20
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="x86" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="220"/>
<kactus2:position x="160" y="60"/>
<kactus2:imported kactus2:importRef="PC_x86"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_1</spirit:instanceName>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="60"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sdram_1"/>
<kactus2:position x="160" y="670"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
87,11 → 87,11
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0_mcapi_nios_0</spirit:instanceName>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_1_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_1"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:apiInterfacePositions>
101,29 → 101,30
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>h.263_encoder_dct</spirit:instanceName>
<spirit:instanceName>h.263_encoder_main</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="h.263_encoder_dct" spirit:version="1.0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="h.263_encoder_main" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="PC_x86"/>
<kactus2:position x="0" y="180"/>
<kactus2:propertyValues>
<kactus2:propertyValue kactus2:name="node_id" kactus2:value="1"/>
<kactus2:propertyValue kactus2:name="" kactus2:value=""/>
<kactus2:propertyValue kactus2:name="node_id" kactus2:value="0"/>
</kactus2:propertyValues>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="80"/>
<kactus2:position x="-80" y="50"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="block_count_in">
<kactus2:position x="80" y="40"/>
<kactus2:comInterfacePosition kactus2:comRef="block_count_out">
<kactus2:position x="80" y="100"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="dct_data_in">
<kactus2:position x="80" y="90"/>
<kactus2:position x="80" y="70"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="dct_data_out">
<kactus2:position x="80" y="70"/>
<kactus2:position x="80" y="40"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
133,7 → 134,7
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.api" spirit:name="openmcapi" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="PC_x86"/>
<kactus2:position x="0" y="310"/>
<kactus2:position x="0" y="330"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
141,39 → 142,38
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>h.263_encoder_main</spirit:instanceName>
<spirit:instanceName>h.263_encoder_dct</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="h.263_encoder_main" spirit:version="1.0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="h.263_encoder_dct" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="PC_x86"/>
<kactus2:position x="0" y="40"/>
<kactus2:propertyValues>
<kactus2:propertyValue kactus2:name="" kactus2:value=""/>
<kactus2:propertyValue kactus2:name="node_id" kactus2:value="0"/>
<kactus2:propertyValue kactus2:name="node_id" kactus2:value="1"/>
</kactus2:propertyValues>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="50"/>
<kactus2:position x="-80" y="80"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="block_count_out">
<kactus2:position x="80" y="100"/>
<kactus2:comInterfacePosition kactus2:comRef="block_count_in">
<kactus2:position x="80" y="40"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="dct_data_in">
<kactus2:position x="80" y="70"/>
<kactus2:position x="80" y="90"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="dct_data_out">
<kactus2:position x="80" y="40"/>
<kactus2:position x="80" y="70"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_1_mcapi_nios_0</spirit:instanceName>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_1"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:apiInterfacePositions>
185,44 → 185,44
</kactus2:swInstances>
<kactus2:apiDependencies>
<kactus2:apiDependency>
<spirit:name>openmcapi_1_mcapi_to_h.263_encoder_dct_mcapi</spirit:name>
<spirit:name>openmcapi_1_mcapi_to_h.263_encoder_main_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="openmcapi_1" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="h.263_encoder_dct" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="h.263_encoder_main" kactus2:apiRef="mcapi"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="80" y="570"/>
<kactus2:position x="50" y="570"/>
<kactus2:position x="50" y="480"/>
<kactus2:position x="80" y="480"/>
<kactus2:position x="80" y="430"/>
<kactus2:position x="50" y="430"/>
<kactus2:position x="50" y="290"/>
<kactus2:position x="80" y="290"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>openmcapi_1_mcapi_to_h.263_encoder_main_mcapi</spirit:name>
<spirit:name>openmcapi_1_mcapi_to_h.263_encoder_dct_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="openmcapi_1" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="h.263_encoder_main" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="h.263_encoder_dct" kactus2:apiRef="mcapi"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="80" y="570"/>
<kactus2:position x="50" y="570"/>
<kactus2:position x="50" y="310"/>
<kactus2:position x="80" y="310"/>
<kactus2:position x="80" y="430"/>
<kactus2:position x="50" y="430"/>
<kactus2:position x="50" y="180"/>
<kactus2:position x="80" y="180"/>
</kactus2:route>
</kactus2:apiDependency>
</kactus2:apiDependencies>
<kactus2:comConnections>
<kactus2:comConnection>
<spirit:name>h.263_encoder_main_dct_data_out_to_h.263_encoder_dct_dct_data_in</spirit:name>
<spirit:name>h.263_encoder_main_dct_data_in_to_h.263_encoder_dct_dct_data_out</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_out"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="dct_data_in"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_in"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="dct_data_out"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="300"/>
<kactus2:position x="260" y="300"/>
<kactus2:position x="260" y="490"/>
<kactus2:position x="240" y="490"/>
<kactus2:position x="240" y="310"/>
<kactus2:position x="280" y="310"/>
<kactus2:position x="280" y="170"/>
<kactus2:position x="240" y="170"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
232,23 → 232,23
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="block_count_out"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="block_count_in"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="360"/>
<kactus2:position x="260" y="360"/>
<kactus2:position x="260" y="440"/>
<kactus2:position x="240" y="440"/>
<kactus2:position x="240" y="340"/>
<kactus2:position x="280" y="340"/>
<kactus2:position x="280" y="140"/>
<kactus2:position x="240" y="140"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>h.263_encoder_main_dct_data_in_to_h.263_encoder_dct_dct_data_out</spirit:name>
<spirit:name>h.263_encoder_main_dct_data_out_to_h.263_encoder_dct_dct_data_in</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_in"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="dct_data_out"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_out"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="dct_data_in"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="330"/>
<kactus2:position x="270" y="330"/>
<kactus2:position x="270" y="470"/>
<kactus2:position x="240" y="470"/>
<kactus2:position x="240" y="280"/>
<kactus2:position x="280" y="280"/>
<kactus2:position x="280" y="190"/>
<kactus2:position x="240" y="190"/>
</kactus2:route>
</kactus2:comConnection>
</kactus2:comConnections>
/funbase_ip_library/trunk/TUT/ip.hwp.interface/udp2hibi/1.0/udp2hibi.1.0.xml
507,7 → 507,9
<spirit:description>HIBI slave interface</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:slave/>
<spirit:slave>
<spirit:memoryMapRef spirit:memoryMapRef="udp2hibi_memmap"/>
</spirit:slave>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
595,6 → 597,31
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
</spirit:busInterfaces>
<spirit:memoryMaps>
<spirit:memoryMap>
<spirit:name>udp2hibi_memmap</spirit:name>
<spirit:addressBlock>
<spirit:name>registers</spirit:name>
<spirit:baseAddress>0x0</spirit:baseAddress>
<spirit:range>4</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>register</spirit:usage>
<spirit:register>
<spirit:name>TX_cntrl</spirit:name>
<spirit:dim>0</spirit:dim>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>32</spirit:size>
</spirit:register>
<spirit:register>
<spirit:name>RX_cntrl</spirit:name>
<spirit:dim>0</spirit:dim>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>32</spirit:size>
</spirit:register>
</spirit:addressBlock>
<spirit:addressUnitBits>32</spirit:addressUnitBits>
</spirit:memoryMap>
</spirit:memoryMaps>
<spirit:model>
<spirit:views>
<spirit:view>
/funbase_ip_library/trunk/TUT/soc/de2_samos_soc/1.0/de2_samos_soc.design.1.0.xml
16,7 → 16,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="650" y="400"/>
<kactus2:position x="650" y="420"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
45,7 → 45,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dctqidct" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="650" y="550"/>
<kactus2:position x="650" y="580"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
68,7 → 68,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.misc" spirit:name="pll" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="650" y="220"/>
<kactus2:position x="650" y="230"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk_25MHz">
<kactus2:position x="-80" y="130"/>
124,7 → 124,16
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_segment" spirit:version="3.0"/>
<spirit:configurableElementValues/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_0_addr_end">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_0_addr_start">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_1_addr_end">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_1_addr_start">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_2_addr_end">5</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_2_addr_start">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_3_addr_end">6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_3_addr_start">6</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="390" y="60"/>
<kactus2:portPositions>
208,7 → 217,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="udp2hibi" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="210"/>
<kactus2:position x="130" y="220"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
243,7 → 252,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="udp_ip_dm9000a" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="400"/>
<kactus2:position x="130" y="420"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="DM9000A">
<kactus2:position x="80" y="140"/>
429,7 → 438,7
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="310"/>
<kactus2:position x="20" y="320"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
462,7 → 471,7
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="760" y="460"/>
<kactus2:position x="760" y="480"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
473,7 → 482,7
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="540" y="620"/>
<kactus2:position x="540" y="650"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
484,7 → 493,7
<kactus2:position x="810" y="400"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="240" y="540"/>
<kactus2:position x="240" y="560"/>
<kactus2:position x="810" y="400"/>
</kactus2:route>
</spirit:vendorExtensions>
495,7 → 504,7
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="240" y="480"/>
<kactus2:position x="240" y="500"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
506,7 → 515,9
<kactus2:position x="840" y="290"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="730" y="290"/>
<kactus2:position x="730" y="300"/>
<kactus2:position x="820" y="300"/>
<kactus2:position x="820" y="290"/>
<kactus2:position x="840" y="290"/>
</kactus2:route>
</spirit:vendorExtensions>
539,7 → 550,9
<kactus2:position x="840" y="260"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="730" y="260"/>
<kactus2:position x="730" y="270"/>
<kactus2:position x="820" y="270"/>
<kactus2:position x="820" y="260"/>
<kactus2:position x="840" y="260"/>
</kactus2:route>
</spirit:vendorExtensions>
566,7 → 579,7
<kactus2:routes>
<kactus2:route kactus2:connRef="nios_ii_sram_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="20" y="100"/>
<kactus2:position x="540" y="290"/>
<kactus2:position x="540" y="300"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0" kactus2:offPage="false">
<kactus2:position x="210" y="100"/>
577,72 → 590,80
<kactus2:position x="310" y="140"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dct_to_hibi_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="540" y="440"/>
<kactus2:position x="540" y="290"/>
<kactus2:position x="540" y="460"/>
<kactus2:position x="540" y="300"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="20" y="250"/>
<kactus2:position x="540" y="290"/>
<kactus2:position x="20" y="260"/>
<kactus2:position x="540" y="300"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_clk_udp_to_pll_0_clk_25MHz" kactus2:offPage="false">
<kactus2:position x="210" y="350"/>
<kactus2:position x="570" y="350"/>
<kactus2:position x="210" y="360"/>
<kactus2:position x="570" y="360"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dct_to_hibi_0_dct_if_to_dctqidct_0_dct_if" kactus2:offPage="false">
<kactus2:position x="730" y="490"/>
<kactus2:position x="750" y="490"/>
<kactus2:position x="750" y="590"/>
<kactus2:position x="730" y="590"/>
<kactus2:position x="730" y="510"/>
<kactus2:position x="750" y="510"/>
<kactus2:position x="750" y="620"/>
<kactus2:position x="730" y="620"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dct_to_hibi_0_hibi_master_to_hibi_segment_0_ip_mMaster_3" kactus2:offPage="false">
<kactus2:position x="570" y="480"/>
<kactus2:position x="570" y="500"/>
<kactus2:position x="490" y="500"/>
<kactus2:position x="490" y="480"/>
<kactus2:position x="470" y="480"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_hibi_master_to_hibi_segment_0_ip_mMaster_2" kactus2:offPage="false">
<kactus2:position x="210" y="260"/>
<kactus2:position x="210" y="270"/>
<kactus2:position x="290" y="270"/>
<kactus2:position x="290" y="260"/>
<kactus2:position x="310" y="260"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_hibi_slave_to_hibi_segment_0_ip_mSlave_2" kactus2:offPage="false">
<kactus2:position x="210" y="280"/>
<kactus2:position x="210" y="290"/>
<kactus2:position x="290" y="290"/>
<kactus2:position x="290" y="280"/>
<kactus2:position x="310" y="280"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dct_to_hibi_0_hibi_slave_to_hibi_segment_0_ip_mSlave_3" kactus2:offPage="false">
<kactus2:position x="570" y="500"/>
<kactus2:position x="570" y="520"/>
<kactus2:position x="490" y="520"/>
<kactus2:position x="490" y="500"/>
<kactus2:position x="470" y="500"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dctqidct_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="540" y="590"/>
<kactus2:position x="540" y="290"/>
<kactus2:position x="540" y="620"/>
<kactus2:position x="540" y="300"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_segment_0_clocks_3_to_pll_0_hibi_clk" kactus2:offPage="false">
<kactus2:position x="470" y="310"/>
<kactus2:position x="490" y="310"/>
<kactus2:position x="490" y="260"/>
<kactus2:position x="570" y="260"/>
<kactus2:position x="490" y="270"/>
<kactus2:position x="570" y="270"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_clk_25MHz_to_udp_ip_dm9000a_0_clk" kactus2:offPage="false">
<kactus2:position x="570" y="350"/>
<kactus2:position x="250" y="350"/>
<kactus2:position x="250" y="440"/>
<kactus2:position x="210" y="440"/>
<kactus2:position x="570" y="360"/>
<kactus2:position x="250" y="360"/>
<kactus2:position x="250" y="460"/>
<kactus2:position x="210" y="460"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rx" kactus2:offPage="false">
<kactus2:position x="50" y="440"/>
<kactus2:position x="30" y="440"/>
<kactus2:position x="30" y="350"/>
<kactus2:position x="50" y="350"/>
<kactus2:position x="50" y="460"/>
<kactus2:position x="30" y="460"/>
<kactus2:position x="30" y="360"/>
<kactus2:position x="50" y="360"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp_ip_dm9000a_0_app_tx_to_udp2hibi_0_udp_ip_tx" kactus2:offPage="false">
<kactus2:position x="50" y="480"/>
<kactus2:position x="20" y="480"/>
<kactus2:position x="20" y="330"/>
<kactus2:position x="50" y="330"/>
<kactus2:position x="50" y="500"/>
<kactus2:position x="20" y="500"/>
<kactus2:position x="20" y="340"/>
<kactus2:position x="50" y="340"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_segment_0_clocks_2_to_pll_0_hibi_clk" kactus2:offPage="false">
<kactus2:position x="470" y="290"/>
<kactus2:position x="490" y="290"/>
<kactus2:position x="490" y="260"/>
<kactus2:position x="570" y="260"/>
<kactus2:position x="490" y="270"/>
<kactus2:position x="570" y="270"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sdram_1_hibi_master_to_hibi_segment_0_ip_mMaster_1" kactus2:offPage="false">
<kactus2:position x="570" y="120"/>
653,19 → 674,17
<kactus2:position x="470" y="140"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_hibi_clk_to_hibi_segment_0_clocks_0" kactus2:offPage="false">
<kactus2:position x="570" y="260"/>
<kactus2:position x="490" y="260"/>
<kactus2:position x="570" y="270"/>
<kactus2:position x="490" y="270"/>
<kactus2:position x="490" y="250"/>
<kactus2:position x="470" y="250"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_hibi_clk_to_hibi_segment_0_clocks_1" kactus2:offPage="false">
<kactus2:position x="570" y="260"/>
<kactus2:position x="490" y="260"/>
<kactus2:position x="490" y="270"/>
<kactus2:position x="570" y="270"/>
<kactus2:position x="470" y="270"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sdram_1_clk" kactus2:offPage="true">
<kactus2:position x="540" y="290"/>
<kactus2:position x="540" y="300"/>
<kactus2:position x="760" y="170"/>
</kactus2:route>
</kactus2:routes>
/funbase_ip_library/trunk/TUT/soc/de2_samos_soc/1.0/de2_samos_soc.designcfg.1.0.xml
34,6 → 34,10
<spirit:viewName>rtl</spirit:viewName>
</spirit:viewConfiguration>
<spirit:viewConfiguration>
<spirit:instanceName>nios_ii_sdram_1</spirit:instanceName>
<spirit:viewName>rtl</spirit:viewName>
</spirit:viewConfiguration>
<spirit:viewConfiguration>
<spirit:instanceName>nios_ii_sram_0</spirit:instanceName>
<spirit:viewName>rtl</spirit:viewName>
</spirit:viewConfiguration>
/funbase_ip_library/trunk/TUT/soc/de2_samos_soc/1.0/de2_samos_soc.1.0.xml
39,6 → 39,129
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>sram_if</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sram_io.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sram_io.absDef" spirit:version="1.0"/>
<spirit:master/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_ADDR_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>17</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_ADDR</spirit:name>
<spirit:vector>
<spirit:left>17</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_CE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_CE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_DQ_TO_AND_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_DQ</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_LB_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_LB_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_OE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_OE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_UB_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_UB_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_WE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_WE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>DM9000A</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a.absDef" spirit:version="1.0"/>
386,129 → 509,6
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>sram_if</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sram_io.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sram_io.absDef" spirit:version="1.0"/>
<spirit:master/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_ADDR_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>17</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_ADDR</spirit:name>
<spirit:vector>
<spirit:left>17</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_CE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_CE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_DQ_TO_AND_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_DQ</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_LB_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_LB_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_OE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_OE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_UB_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_UB_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_WE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_WE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
</spirit:busInterfaces>
<spirit:model>
<spirit:views>
/funbase_ip_library/trunk/TUT/soc/udp_flood_example_dm9000a/1.0/vhd/udp_flood_example_dm9000a.vhd
1,11 → 1,11
-- ***************************************************
-- File: udp_flood_example_dm9000a.vhd
-- Creation date: 05.04.2012
-- Creation time: 13:38:38
-- Creation date: 18.01.2013
-- Creation time: 12:46:22
-- Description: Simple example to test the connection FPGA -> PC.
--
-- Flooder unit sends all the time, UDP/IP block transfers them to PC. Designer can use netstat, netcat, wireshark or similar to catch the packet at the PC's end.
-- Created by: ege
-- Created by: matilail
-- This file was generated with Kactus2 vhdl generator.
-- ***************************************************
library IEEE;
/funbase_ip_library/trunk/TUT/soc/udp_flood_example_dm9000a/1.0/ip_xact/udp_flood_example_dm9000a.design.1.0.xml
1,5 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus216:09:15 03.04.2012-->
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 18.01.2013 -->
<!-- Time: 12:46:25 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
32,28 → 35,10
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>altera_de2_pll_25_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.misc" spirit:name="altera_de2_pll_25" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="250" y="320"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk_in">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clk_out">
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>simple_udp_flood_example_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
79,61 → 64,82
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>altera_de2_pll_25_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.misc" spirit:name="altera_de2_pll_25" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="250" y="320"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk_in">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clk_out">
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
</spirit:componentInstances>
<spirit:interconnections>
<spirit:interconnection>
<spirit:name>floodertx_udp</spirit:name>
<spirit:name>pll_udp</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="simple_udp_flood_example_1" spirit:busRef="udp_ip_tx"/>
<spirit:activeInterface spirit:componentRef="udp_ip_dm9000a_1" spirit:busRef="app_tx"/>
<spirit:activeInterface spirit:componentRef="altera_de2_pll_25_1" spirit:busRef="clk_out"/>
<spirit:activeInterface spirit:componentRef="udp_ip_dm9000a_1" spirit:busRef="clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>udp_flooderrx</spirit:name>
<spirit:name>pll_flooder</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="simple_udp_flood_example_1" spirit:busRef="udp_ip_rx"/>
<spirit:activeInterface spirit:componentRef="udp_ip_dm9000a_1" spirit:busRef="app_rx"/>
<spirit:activeInterface spirit:componentRef="altera_de2_pll_25_1" spirit:busRef="clk_out"/>
<spirit:activeInterface spirit:componentRef="simple_udp_flood_example_1" spirit:busRef="clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_flooder</spirit:name>
<spirit:name>udp_flooderrx</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="altera_de2_pll_25_1" spirit:busRef="clk_out"/>
<spirit:activeInterface spirit:componentRef="simple_udp_flood_example_1" spirit:busRef="clk"/>
<spirit:activeInterface spirit:componentRef="simple_udp_flood_example_1" spirit:busRef="udp_ip_rx"/>
<spirit:activeInterface spirit:componentRef="udp_ip_dm9000a_1" spirit:busRef="app_rx"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_udp</spirit:name>
<spirit:name>floodertx_udp</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="altera_de2_pll_25_1" spirit:busRef="clk_out"/>
<spirit:activeInterface spirit:componentRef="udp_ip_dm9000a_1" spirit:busRef="clk"/>
<spirit:activeInterface spirit:componentRef="simple_udp_flood_example_1" spirit:busRef="udp_ip_tx"/>
<spirit:activeInterface spirit:componentRef="udp_ip_dm9000a_1" spirit:busRef="app_tx"/>
</spirit:interconnection>
</spirit:interconnections>
<spirit:hierConnections>
<spirit:hierConnection spirit:interfaceRef="clk_in">
<spirit:interface spirit:componentRef="altera_de2_pll_25_1" spirit:busRef="clk_in"/>
<spirit:hierConnection spirit:interfaceRef="DM9000A">
<spirit:interface spirit:componentRef="udp_ip_dm9000a_1" spirit:busRef="DM9000A"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="360"/>
<kactus2:direction x="1" y="0"/>
<kactus2:position x="700" y="110"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="360"/>
<kactus2:position x="70" y="360"/>
<kactus2:position x="70" y="360"/>
<kactus2:position x="60" y="360"/>
<kactus2:position x="590" y="110"/>
<kactus2:position x="700" y="110"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="link_up_out">
<spirit:interface spirit:componentRef="simple_udp_flood_example_1" spirit:busRef="link_up_out"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="udp_ip_dm9000a_1" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="700" y="240"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:position x="60" y="160"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="330" y="240"/>
<kactus2:position x="700" y="240"/>
<kactus2:position x="430" y="180"/>
<kactus2:position x="70" y="180"/>
<kactus2:position x="70" y="160"/>
<kactus2:position x="60" y="160"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
148,27 → 154,27
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="udp_ip_dm9000a_1" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="link_up_out">
<spirit:interface spirit:componentRef="simple_udp_flood_example_1" spirit:busRef="link_up_out"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="160"/>
<kactus2:direction x="1" y="0"/>
<kactus2:position x="700" y="240"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="430" y="180"/>
<kactus2:position x="70" y="180"/>
<kactus2:position x="70" y="160"/>
<kactus2:position x="60" y="160"/>
<kactus2:position x="330" y="240"/>
<kactus2:position x="700" y="240"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="DM9000A">
<spirit:interface spirit:componentRef="udp_ip_dm9000a_1" spirit:busRef="DM9000A"/>
<spirit:hierConnection spirit:interfaceRef="clk_in">
<spirit:interface spirit:componentRef="altera_de2_pll_25_1" spirit:busRef="clk_in"/>
<spirit:vendorExtensions>
<kactus2:position x="700" y="110"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:position x="60" y="360"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="590" y="110"/>
<kactus2:position x="700" y="110"/>
<kactus2:position x="170" y="360"/>
<kactus2:position x="70" y="360"/>
<kactus2:position x="70" y="360"/>
<kactus2:position x="60" y="360"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
175,20 → 181,18
</spirit:hierConnections>
<spirit:vendorExtensions>
<kactus2:columnLayout>
<kactus2:column name="IO" contentType="0" allowedItems="1"/>
<kactus2:column name="Components" contentType="2" allowedItems="2"/>
<kactus2:column name="Components 2" contentType="2" allowedItems="2"/>
<kactus2:column name="IO" contentType="0" allowedItems="1"/>
<kactus2:column name="IO" contentType="0" allowedItems="1" minWidth="119" width="119"/>
<kactus2:column name="Components" contentType="2" allowedItems="2" minWidth="259" width="259"/>
<kactus2:column name="Components 2" contentType="2" allowedItems="2" minWidth="259" width="259"/>
<kactus2:column name="IO" contentType="0" allowedItems="1" minWidth="119" width="119"/>
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="floodertx_udp" kactus2:offPage="false">
<kactus2:position x="330" y="100"/>
<kactus2:position x="430" y="100"/>
<kactus2:route kactus2:connRef="pll_udp" kactus2:offPage="false">
<kactus2:position x="330" y="360"/>
<kactus2:position x="420" y="360"/>
<kactus2:position x="420" y="200"/>
<kactus2:position x="430" y="200"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp_flooderrx" kactus2:offPage="false">
<kactus2:position x="330" y="120"/>
<kactus2:position x="430" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_flooder" kactus2:offPage="false">
<kactus2:position x="330" y="360"/>
<kactus2:position x="340" y="360"/>
195,12 → 199,14
<kactus2:position x="340" y="270"/>
<kactus2:position x="330" y="270"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_udp" kactus2:offPage="false">
<kactus2:position x="330" y="360"/>
<kactus2:position x="420" y="360"/>
<kactus2:position x="420" y="200"/>
<kactus2:position x="430" y="200"/>
<kactus2:route kactus2:connRef="udp_flooderrx" kactus2:offPage="false">
<kactus2:position x="330" y="120"/>
<kactus2:position x="430" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="floodertx_udp" kactus2:offPage="false">
<kactus2:position x="330" y="100"/>
<kactus2:position x="430" y="100"/>
</kactus2:route>
</kactus2:routes>
<kactus2:adHocVisibilities/>
</spirit:vendorExtensions>
/funbase_ip_library/trunk/TUT/soc/udp_flood_example_dm9000a/1.0/ip_xact/udp_flood_example_dm9000a.designcfg.1.0.xml
1,5 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus216:09:15 03.04.2012-->
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 18.01.2013 -->
<!-- Time: 12:46:25 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
/funbase_ip_library/trunk/TUT/soc/udp_flood_example_dm9000a/1.0/ip_xact/udp_flood_example_dm9000a.1.0.xml
1,5 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus215:30:22 04.04.2012-->
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 18.01.2013 -->
<!-- Time: 12:46:25 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
86,26 → 89,6
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clk_in</spirit:name>
<spirit:description>Clock input.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>CLK</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>clk_in_CLK</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>link_up_out</spirit:name>
<spirit:description>1-bit status</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="gpio_1bit" spirit:version="1.0"/>
145,6 → 128,26
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clk_in</spirit:name>
<spirit:description>Clock input.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>CLK</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>clk_in_CLK</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
</spirit:busInterfaces>
<spirit:model>
<spirit:views>
399,9 → 402,6
<spirit:name>../../../../ip.hwp.interface/udp_ip/1.0/syn/udp_ip_dm9000a_de2_assignments.qsf</spirit:name>
<spirit:userFileType>quartusPinmap</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
</spirit:file>
</spirit:fileSet>
<spirit:fileSet>
410,9 → 410,6
<spirit:name>../sim/sim.do</spirit:name>
<spirit:userFileType>modelsimScript</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
<spirit:description>Executes simulation. Uses force commands to create clock and reset.
 
Note that PLL requires simulation resolution of 1 ps.</spirit:description>
421,9 → 418,6
<spirit:name>../sim/all_waves.do</spirit:name>
<spirit:userFileType>modelsimScript</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
<spirit:description>Adds the necessary signals to wave window and formats them. Called by sim.do.</spirit:description>
</spirit:file>
<spirit:file>
430,9 → 424,6
<spirit:name>../sim/compile_all.do</spirit:name>
<spirit:userFileType>modelsimScript</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
<spirit:description>Compile &quot;all&quot; VHDL files (except Altera's simulation models).
</spirit:description>
</spirit:file>
440,9 → 431,6
<spirit:name>../sim/compile_altera.do</spirit:name>
<spirit:userFileType>modelsimScript</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
<spirit:description>Compiles Altera's simulation models.
 
Please edit the path definitions to match your Quartus installation directory.</spirit:description>
459,9 → 447,6
<spirit:name>../doc/setup.pptx</spirit:name>
<spirit:userFileType>powerPoint</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
</spirit:file>
</spirit:fileSet>
</spirit:fileSets>
/funbase_ip_library/trunk/TUT/ip.hwp.accelerator/dct_to_hibi/1.0/dct_to_hibi.1.0.xml
418,7 → 418,9
<spirit:name>hibi_slave</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:slave/>
<spirit:slave>
<spirit:memoryMapRef spirit:memoryMapRef="dct_mem_map"/>
</spirit:slave>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
506,6 → 508,67
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
</spirit:busInterfaces>
<spirit:memoryMaps>
<spirit:memoryMap>
<spirit:name>dct_mem_map</spirit:name>
<spirit:addressBlock>
<spirit:name>dct_regs</spirit:name>
<spirit:baseAddress>0x0</spirit:baseAddress>
<spirit:range>1</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>register</spirit:usage>
<spirit:register>
<spirit:name>quant_result_addr</spirit:name>
<spirit:dim>0</spirit:dim>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>32</spirit:size>
</spirit:register>
<spirit:register>
<spirit:name>idct_result_addr</spirit:name>
<spirit:dim>0</spirit:dim>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>32</spirit:size>
</spirit:register>
<spirit:register>
<spirit:name>ctrl_reg</spirit:name>
<spirit:dim>0</spirit:dim>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:field>
<spirit:name>QP</spirit:name>
<spirit:description>Quant parameter</spirit:description>
<spirit:bitOffset>0</spirit:bitOffset>
<spirit:bitWidth>5</spirit:bitWidth>
<spirit:volatile>false</spirit:volatile>
<spirit:testable spirit:testConstraint="unConstrained">true</spirit:testable>
</spirit:field>
<spirit:field>
<spirit:name>intra_or_inter</spirit:name>
<spirit:description>0=intra, 1=inter</spirit:description>
<spirit:bitOffset>5</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
<spirit:volatile>false</spirit:volatile>
<spirit:testable spirit:testConstraint="unConstrained">true</spirit:testable>
</spirit:field>
<spirit:field>
<spirit:name>chroma_luma</spirit:name>
<spirit:description>0=luma, 1=chroma</spirit:description>
<spirit:bitOffset>6</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
<spirit:volatile>false</spirit:volatile>
<spirit:testable spirit:testConstraint="unConstrained">true</spirit:testable>
</spirit:field>
</spirit:register>
<spirit:register>
<spirit:name>dct_data</spirit:name>
<spirit:dim>0</spirit:dim>
<spirit:addressOffset>0x3</spirit:addressOffset>
<spirit:size>32</spirit:size>
</spirit:register>
</spirit:addressBlock>
<spirit:addressUnitBits>32</spirit:addressUnitBits>
</spirit:memoryMap>
</spirit:memoryMaps>
<spirit:model>
<spirit:views>
<spirit:view>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.